Skip to main content

Showing 1–21 of 21 results for author: Salami, B

.
  1. arXiv:2402.09939  [pdf

    cs.AI cs.CL cs.HC cs.IR cs.LG

    Generative AI in the Construction Industry: A State-of-the-art Analysis

    Authors: Ridwan Taiwo, Idris Temitope Bello, Sulemana Fatoama Abdulai, Abdul-Mugis Yussif, Babatunde Abiodun Salami, Abdullahi Saka, Tarek Zayed

    Abstract: The construction industry is a vital sector of the global economy, but it faces many productivity challenges in various processes, such as design, planning, procurement, inspection, and maintenance. Generative artificial intelligence (AI), which can create novel and realistic data or content, such as text, image, video, or code, based on some input or prior knowledge, offers innovative and disrupt… ▽ More

    Submitted 15 February, 2024; originally announced February 2024.

    Comments: 74 pages, 11 figures, 20 tables

  2. Makinote: An FPGA-Based HW/SW Platform for Pre-Silicon Emulation of RISC-V Designs

    Authors: Elias Perdomo, Alexander Kropotov, Francelly Cano, Syed Zafar, Teresa Cervero, Xavier Martorell, Behzad Salami

    Abstract: Emulating chip functionality before silicon production is crucial, especially with the increasing prevalence of RISC-V-based designs. FPGAs are promising candidates for such purposes due to their high-speed and reconfigurable architecture. In this paper, we introduce our Makinote, an FPGA-based Cluster platform, hosted at Barcelona Supercomputing Center (BSC-CNS), which is composed of a large numb… ▽ More

    Submitted 5 February, 2024; v1 submitted 31 January, 2024; originally announced January 2024.

    Comments: 7 pages, 5 figures, presented in Rapid Simulation and Performance Evaluation for Design 2024 (RAPIDO24) and published in ACM Proceedings of Rapid Simulation and Performance Evaluation for Design

  3. arXiv:2310.14665  [pdf, other

    cs.CR cs.AR

    Read Disturbance in High Bandwidth Memory: A Detailed Experimental Study on HBM2 DRAM Chips

    Authors: Ataberk Olgun, Majd Osseiran, Abdullah Giray Yaglikci, Yahya Can Tugrul, Haocong Luo, Steve Rhyner, Behzad Salami, Juan Gomez Luna, Onur Mutlu

    Abstract: We experimentally demonstrate the effects of read disturbance (RowHammer and RowPress) and uncover the inner workings of undocumented read disturbance defense mechanisms in High Bandwidth Memory (HBM). Detailed characterization of six real HBM2 DRAM chips in two different FPGA boards shows that (1) the read disturbance vulnerability significantly varies between different HBM2 chips and between dif… ▽ More

    Submitted 2 May, 2024; v1 submitted 23 October, 2023; originally announced October 2023.

    Comments: To appear in DSN 2024

  4. arXiv:2305.18997  [pdf

    cs.HC cs.AI cs.CL

    GPT Models in Construction Industry: Opportunities, Limitations, and a Use Case Validation

    Authors: Abdullahi Saka, Ridwan Taiwo, Nurudeen Saka, Babatunde Salami, Saheed Ajayi, Kabiru Akande, Hadi Kazemi

    Abstract: Large Language Models(LLMs) trained on large data sets came into prominence in 2018 after Google introduced BERT. Subsequently, different LLMs such as GPT models from OpenAI have been released. These models perform well on diverse tasks and have been gaining widespread applications in fields such as business and education. However, little is known about the opportunities and challenges of using LL… ▽ More

    Submitted 30 May, 2023; originally announced May 2023.

    Comments: 58 pages, 20 figures

  5. arXiv:2305.17918  [pdf, other

    cs.CR cs.AR

    An Experimental Analysis of RowHammer in HBM2 DRAM Chips

    Authors: Ataberk Olgun, Majd Osseiran, Abdullah Giray Ya{ğ}lık{c}ı, Yahya Can Tuğrul, Haocong Luo, Steve Rhyner, Behzad Salami, Juan Gomez Luna, Onur Mutlu

    Abstract: RowHammer (RH) is a significant and worsening security, safety, and reliability issue of modern DRAM chips that can be exploited to break memory isolation. Therefore, it is important to understand real DRAM chips' RH characteristics. Unfortunately, no prior work extensively studies the RH vulnerability of modern 3D-stacked high-bandwidth memory (HBM) chips, which are commonly used in modern GPUs.… ▽ More

    Submitted 29 May, 2023; originally announced May 2023.

    Comments: To appear at DSN Disrupt 2023

  6. arXiv:2211.10894  [pdf, other

    cs.AR cs.CR

    TuRaN: True Random Number Generation Using Supply Voltage Underscaling in SRAMs

    Authors: İsmail Emir Yüksel, Ataberk Olgun, Behzad Salami, F. Nisa Bostancı, Yahya Can Tuğrul, A. Giray Yağlıkçı, Nika Mansouri Ghiasi, Onur Mutlu, Oğuz Ergin

    Abstract: Prior works propose SRAM-based TRNGs that extract entropy from SRAM arrays. SRAM arrays are widely used in a majority of specialized or general-purpose chips that perform the computation to store data inside the chip. Thus, SRAM-based TRNGs present a low-cost alternative to dedicated hardware TRNGs. However, existing SRAM-based TRNGs suffer from 1) low TRNG throughput, 2) high energy consumption,… ▽ More

    Submitted 20 November, 2022; originally announced November 2022.

  7. arXiv:2211.05730  [pdf, other

    cs.AR cs.AI cs.ET cs.LG cs.NE

    NEON: Enabling Efficient Support for Nonlinear Operations in Resistive RAM-based Neural Network Accelerators

    Authors: Aditya Manglik, Minesh Patel, Haiyu Mao, Behzad Salami, Jisung Park, Lois Orosa, Onur Mutlu

    Abstract: Resistive Random-Access Memory (RRAM) is well-suited to accelerate neural network (NN) workloads as RRAM-based Processing-in-Memory (PIM) architectures natively support highly-parallel multiply-accumulate (MAC) operations that form the backbone of most NN workloads. Unfortunately, NN workloads such as transformers require support for non-MAC operations (e.g., softmax) that RRAM cannot provide nati… ▽ More

    Submitted 10 November, 2022; originally announced November 2022.

    Comments: 11 pages and 11 figures

  8. arXiv:2206.00263  [pdf, other

    cs.AR

    PiDRAM: An FPGA-based Framework for End-to-end Evaluation of Processing-in-DRAM Techniques

    Authors: Ataberk Olgun, Juan Gomez Luna, Konstantinos Kanellopoulos, Behzad Salami, Hasan Hassan, Oguz Ergin, Onur Mutlu

    Abstract: DRAM-based main memory is used in nearly all computing systems as a major component. One way of overcoming the main memory bottleneck is to move computation near memory, a paradigm known as processing-in-memory (PiM). Recent PiM techniques provide a promising way to improve the performance and energy efficiency of existing and future systems at no additional DRAM hardware cost. We develop the Pr… ▽ More

    Submitted 1 June, 2022; originally announced June 2022.

    Comments: To appear in ISVLSI 2022 Special Session on Processing in Memory. arXiv admin note: text overlap with arXiv:2111.00082

  9. arXiv:2111.00082  [pdf, other

    cs.AR

    PiDRAM: A Holistic End-to-end FPGA-based Framework for Processing-in-DRAM

    Authors: Ataberk Olgun, Juan Gómez Luna, Konstantinos Kanellopoulos, Behzad Salami, Hasan Hassan, Oğuz Ergin, Onur Mutlu

    Abstract: Processing-using-memory (PuM) techniques leverage the analog operation of memory cells to perform computation. Several recent works have demonstrated PuM techniques in off-the-shelf DRAM devices. Since DRAM is the dominant memory technology as main memory in current computing systems, these PuM techniques represent an opportunity for alleviating the data movement bottleneck at very low cost. Howev… ▽ More

    Submitted 4 September, 2023; v1 submitted 29 October, 2021; originally announced November 2021.

    Comments: To appear in ACM Transactions on Architecture and Code Optimization

  10. arXiv:2110.05855  [pdf, other

    cs.AR

    MoRS: An Approximate Fault Modelling Framework for Reduced-Voltage SRAMs

    Authors: İsmail Emir Yüksel, Behzad Salami, Oğuz Ergin, Osman Sabri Ünsal, Adrian Cristal Kestelman

    Abstract: On-chip memory (usually based on Static RAMs-SRAMs) are crucial components for various computing devices including heterogeneous devices, e.g., GPUs, FPGAs, ASICs to achieve high performance. Modern workloads such as Deep Neural Networks (DNNs) running on these heterogeneous fabrics are highly dependent on the on-chip memory architecture for efficient acceleration. Hence, improving the energy-effi… ▽ More

    Submitted 19 July, 2022; v1 submitted 12 October, 2021; originally announced October 2021.

    Comments: 13 pages, 10 figures. This work appears at the Transactions on Computer-Aided Design of Integrated Circuits and Systems: SI on Compiler Frameworks and Co-design Methodologies

  11. arXiv:2106.14079  [pdf, other

    cs.DC

    On the Impact of Device-Level Techniques on Energy-Efficiency of Neural Network Accelerators

    Authors: Seyed Morteza Nabavinejad, Behzad Salami

    Abstract: Energy-efficiency is a key concern for neural network applications. To alleviate this issue, hardware acceleration using FPGAs or GPUs can provide better energy-efficiency than general-purpose processors. However, further improvement of the energy-efficiency of such accelerators will be extremely beneficial specially to deploy neural network in power-constrained edge computing environments. In thi… ▽ More

    Submitted 26 June, 2021; originally announced June 2021.

  12. arXiv:2101.00969  [pdf, other

    cs.AR

    Understanding Power Consumption and Reliability of High-Bandwidth Memory with Voltage Underscaling

    Authors: Seyed Saber Nabavi Larimi, Behzad Salami, Osman S. Unsal, Adrian Cristal Kestelman, Hamid Sarbazi-Azad, Onur Mutlu

    Abstract: Modern computing devices employ High-Bandwidth Memory (HBM) to meet their memory bandwidth requirements. An HBM-enabled device consists of multiple DRAM layers stacked on top of one another next to a compute chip (e.g. CPU, GPU, and FPGA) in the same package. Although such HBM structures provide high bandwidth at a small form factor, the stacked memory layers consume a substantial portion of the p… ▽ More

    Submitted 30 December, 2020; originally announced January 2021.

    Comments: To appear at DATE 2021 conference

  13. Exceeding Conservative Limits: A Consolidated Analysis on Modern Hardware Margins

    Authors: George Papadimitriou, Athanasios Chatzidimitriou, Dimitris Gizopoulos, Vijay Janapa Reddi, **gwen Leng, Behzad Salami, Osman S. Unsal, Adrian Cristal Kestelman

    Abstract: Modern large-scale computing systems (data centers, supercomputers, cloud and edge setups and high-end cyber-physical systems) employ heterogeneous architectures that consist of multicore CPUs, general-purpose many-core GPUs, and programmable FPGAs. The effective utilization of these architectures poses several challenges, among which a primary one is power consumption. Voltage reduction is one of… ▽ More

    Submitted 1 June, 2020; originally announced June 2020.

    Comments: Accepted for publication in IEEE Transactions on Device and Materials Reliability

  14. arXiv:2005.04737  [pdf, other

    eess.SP cs.AR

    Power and Accuracy of Multi-Layer Perceptrons (MLPs) under Reduced-voltage FPGA BRAMs Operation

    Authors: Behzad Salami, Osman Unsal, Adrian Cristal

    Abstract: In this paper, we exploit the aggressive supply voltage underscaling technique in Block RAMs (BRAMs) of Field Programmable Gate Arrays (FPGAs) to improve the energy efficiency of Multi-Layer Perceptrons (MLPs). Additionally, we evaluate and improve the resilience of this accelerator. Through experiments on several representative FPGA fabrics, we observe that until a minimum safe voltage level, i.e… ▽ More

    Submitted 10 May, 2020; originally announced May 2020.

  15. arXiv:2005.03451  [pdf, other

    cs.LG

    An Experimental Study of Reduced-Voltage Operation in Modern FPGAs for Neural Network Acceleration

    Authors: Behzad Salami, Erhan Baturay Onural, Ismail Emir Yuksel, Fahrettin Koc, Oguz Ergin, Adrian Cristal Kestelman, Osman S. Unsal, Hamid Sarbazi-Azad, Onur Mutlu

    Abstract: We empirically evaluate an undervolting technique, i.e., underscaling the circuit supply voltage below the nominal level, to improve the power-efficiency of Convolutional Neural Network (CNN) accelerators mapped to Field Programmable Gate Arrays (FPGAs). Undervolting below a safe voltage level can lead to timing faults due to excessive circuit latency increase. We evaluate the reliability-power tr… ▽ More

    Submitted 30 December, 2020; v1 submitted 4 May, 2020; originally announced May 2020.

    Comments: To appear at the DSN 2020 conference

  16. arXiv:2001.00053  [pdf, other

    cs.LG cs.NE

    On the Resilience of Deep Learning for Reduced-voltage FPGAs

    Authors: Kamyar Givaki, Behzad Salami, Reza Hojabr, S. M. Reza Tayaranian, Ahmad Khonsari, Dara Rahmati, Saeid Gorgin, Adrian Cristal, Osman S. Unsal

    Abstract: Deep Neural Networks (DNNs) are inherently computation-intensive and also power-hungry. Hardware accelerators such as Field Programmable Gate Arrays (FPGAs) are a promising solution that can satisfy these requirements for both embedded and High-Performance Computing (HPC) systems. In FPGAs, as well as CPUs and GPUs, aggressive voltage scaling below the nominal level is an effective technique for p… ▽ More

    Submitted 26 December, 2019; originally announced January 2020.

  17. arXiv:1912.01563  [pdf, other

    cs.DC

    LEGaTO: Low-Energy, Secure, and Resilient Toolset for Heterogeneous Computing

    Authors: B. Salami, K. Parasyris, A. Cristal, O. Unsal, X. Martorell, P. Carpenter, R. De La Cruz, L. Bautista, D. Jimenez, C. Alvarez, S. Nabavi, S. Madonar, M. Pericas, P. Trancoso, M. Abduljabbar, J. Chen, P. N. Soomro, M Manivannan, M. Berge, S. Krupop, F. Klawonn, Al Mekhlafi, S. May, T. Becker, G. Gaydadjiev , et al. (20 additional authors not shown)

    Abstract: The LEGaTO project leverages task-based programming models to provide a software ecosystem for Made in-Europe heterogeneous hardware composed of CPUs, GPUs, FPGAs and dataflow engines. The aim is to attain one order of magnitude energy savings from the edge to the converged cloud/HPC, balanced with the security and resilience challenges. LEGaTO is an ongoing three-year EU H2020 project started in… ▽ More

    Submitted 1 December, 2019; originally announced December 2019.

    Comments: 6 pages, 9 figures

  18. arXiv:1912.01556  [pdf

    cs.DC

    A Novel FPGA-Based High Throughput Accelerator For Binary Search Trees

    Authors: Oyku Melikoglu, Oguz Ergin, Behzad Salami, Julian Pavon, Osman Unsal, Adrian Cristal

    Abstract: This paper presents a deeply pipelined and massively parallel Binary Search Tree (BST) accelerator for Field Programmable Gate Arrays (FPGAs). Our design relies on the extremely parallel on-chip memory, or Block RAMs (BRAMs) architecture of FPGAs. To achieve significant throughput for the search operation on BST, we present several novel mechanisms including tree duplication as well as horizontal,… ▽ More

    Submitted 1 December, 2019; originally announced December 2019.

    Comments: 8 pages, 9 figures

  19. arXiv:1912.00154  [pdf, other

    cs.PF cs.AR

    Hardware Versus Software Fault Injection of Modern Undervolted SRAMs

    Authors: Muhammet Abdullah Soyturk, Konstantinos Parasyris, Behzad Salami, Osman Unsal, Gulay Yalcin, Leonardo Bautista Gomez

    Abstract: To improve power efficiency, researchers are experimenting with dynamically adjusting the supply voltage of systems below the nominal operating points. However, production systems are typically not allowed to function on voltage settings that is below the reliable limit. Consequently, existing software fault tolerance studies are based on fault models, which inject faults on random fault locations… ▽ More

    Submitted 30 November, 2019; originally announced December 2019.

  20. Evaluating Built-in ECC of FPGA on-chip Memories for the Mitigation of Undervolting Faults

    Authors: Behzad Salami, Osman S. Unsal, Adrian Cristal Kestelman

    Abstract: Voltage underscaling below the nominal level is an effective solution for improving energy efficiency in digital circuits, e.g., Field Programmable Gate Arrays (FPGAs). However, further undervolting below a safe voltage level and without accompanying frequency scaling leads to timing related faults, potentially undermining the energy savings. Through experimental voltage underscaling studies on co… ▽ More

    Submitted 29 March, 2019; originally announced March 2019.

    Comments: 6 pages, 2 figures

  21. arXiv:1806.09679  [pdf, other

    cs.LG cs.AR stat.ML

    On the Resilience of RTL NN Accelerators: Fault Characterization and Mitigation

    Authors: Behzad Salami, Osman Unsal, Adrian Cristal

    Abstract: Machine Learning (ML) is making a strong resurgence in tune with the massive generation of unstructured data which in turn requires massive computational resources. Due to the inherently compute- and power-intensive structure of Neural Networks (NNs), hardware accelerators emerge as a promising solution. However, with technology node scaling below 10nm, hardware accelerators become more susceptibl… ▽ More

    Submitted 14 June, 2018; originally announced June 2018.

    Comments: 8 pages, 6 figures

    MSC Class: 68T01