Machine Learning Driven Global Optimisation Framework for Analog Circuit Design

Ria Rashid [email protected] Komala Krishna Clint Pazhayidam George Nandakumar Nambath
Abstract

We propose a machine learning-driven optimisation framework for analog circuit design in this paper. The primary objective is to determine the device sizes for the optimal performance of analog circuits for a given set of specifications. Our methodology entails employing machine learning models and spice simulations to direct the optimisation algorithm towards achieving the optimal design for analog circuits. Machine learning based global offline surrogate models, with the circuit design parameters as the input, are built in the design space for the analog circuits under study and is used to guide the optimisation algorithm, resulting in faster convergence and a reduced number of spice simulations. Multi-layer perceptron and random forest regressors are employed to predict the required design specifications of the analog circuit. Since the saturation condition of transistors is vital in the proper working of analog circuits, multi-layer perceptron classifiers are used to predict the saturation condition of each transistor in the circuit. The feasibility of the candidate solutions is verified using machine learning models before invoking spice simulations. We validate the proposed framework using three circuit topologies–a bandgap reference, a folded cascode operational amplifier, and a two-stage operational amplifier. The simulation results show better optimum values and lower standard deviations for fitness functions after convergence. Incorporating the machine learning-based predictions proposed in the optimisation method has resulted in the reduction of spice calls by 56%, 59%, and 83% when compared with standard approaches in the three test cases considered in the study.

keywords:
Analog circuit sizing , supervised learning , offline surrogate model , neural networks , random forests , genetic algorithm
journal:
\affiliation

[inst1]organization=School of Electrical Sciences, Indian Institute of Technology Goa,city=Ponda, postcode=403401, state=Goa, country=India

\affiliation

[inst2]organization=School of Mathematics and Computer Science, Indian Institute of Technology Goa,city=Ponda, postcode=403401, state=Goa, country=India

1 Introduction

The present-day electronic industry uses more and more integrated analog and digital blocks on monolithic mixed-signal system-on-a-chip (SoC). The main bottleneck to the rapid development cycles of SoCs is the lack of automation in the analog design process. This is primarily because of the complexities present in analog circuit design [1]. Digital circuit design, on the other hand, is heavily automated. This necessitates the development of new, robust analog design automation tools [2, 3].

Multiple studies have been reported for the automated sizing of analog circuits [4, 5], which are typically classified into equation-based and simulation-based [6, 7]. In equation-based approaches [7, 8], analytical expressions are used to model the different circuit specifications with respect to design parameters. Since the models of the state-of-the-art transistors are highly complex, develo** an accurate circuit model becomes quite challenging. Various higher-order effects are thus ignored while framing the required equations for circuit performance evaluation. As a result, the optimal design achieved by these methods is often found to be inadequate.

In simulation-based approaches [9, 10], optimisation algorithms find the design parameters of the considered analog circuit to meet the required specifications with the help of any electronic design automation (EDA) tool, including spice [11]. Combined with the ability of spice simulations to predict the performance metrics of any analog circuits, this method can be applied to any complex analog circuit without needing to develop accurate mathematical models of the circuit under study. Different methods have been reported in the literature with various simulation-based techniques for analog circuit optimisation. Self-adaptive multiple starting point optimisations [12], simulated annealing [13, 14], Bayesian optimisation [15], artificial intelligence-based approach [16], shrinking circles technique [17], machine learning-based optimisation methods [18], and evolutionary algorithms [19, 20, 21, 22] are a few methods reported for analog circuit design optimisation. A variety of evolutionary global optimisation algorithms such as differential evolution (DE) [23], genetic algorithm (GA) [24], artificial bee colony (ABC) algorithm [25], and particle swarm optimisation (PSO) [26] have been reported for analog circuit design because of their robustness. In our prior work [25], a performance evaluation of modified versions of ABC, GA, grey wolf optimisation (GWO) and PSO was carried out for the optimisation of two operational amplifier (op-amp) topologies. The modified versions of these algorithms showed faster convergence to better optimal values with a reduced number of spice simulations when compared to standard versions.

GA has found extensive applications in studies where parallel computation is utilised [27, 28]. Since every individual in the GA population can be evaluated independently from the others, the implementation of parallel computation becomes straightforward. For an efficient global exploration of the design space, GA usually requires a large population size, leading to more spice simulations. This makes GA more computationally expensive, which can be a significant constraint when complex circuits with stringent specifications are considered [29].

One way to overcome the computation-intensive circuit simulation is by creating surrogate models for different circuit parameters. These surrogate models are approximate models of circuit simulations that can be built using different techniques and can be used to replace circuit simulations during optimisation. One main advantage of such a model is that it can be saved and reused for different optimisation runs. Machine learning (ML)-based surrogate models have been reported in the literature for analog circuit optimisation [30, 31, 32].

ML-based regression models have been used in different studies to predict the various circuit specifications for analog circuits and are used instead of the spice simulations to predict the feasibility of a design attained by the optimisation algorithm. Different methods such as artificial neural network (ANN) [32, 33], posynomial models [34], support vector machines [35], random forest (RF) [36], k-nearest neighbours [37], deep neural network [38] have been employed in analog design optimisation. A circuit-connectivity-inspired ANN was proposed in [39], reducing the required data set volume for a specified target accuracy in analog circuit design. In [40], an ANN-based methodology for generating fast and efficient models for estimating the performance parameters of complementary metal-oxide semiconductor (CMOS) op-amps was presented, where simulation results demonstrated the efficiency of the proposed method in the performance estimation of analog circuits. A GA-based global optimisation engine and an ANN-based local optimisation engine for analog circuit optimisation were presented in [41]. This study implemented parallel computation to train the ANN models during the final local optimisation search, and this proved to be faster with comparable results when compared with the local optimisation approach using spice calls. In [42], a local surrogate-based parallel optimisation has been proposed for analog design. This method showed better optimisation ability than the parallel DE algorithm and the state-of-the-art surrogate-based optimisation methods. An ML-based global optimisation approach with a new candidate design ranking method and an ANN model construction method for the analog circuits was reported in [43] and validated using two amplifiers and a comparator with complete design specifications. An efficient surrogate-assisted constrained multi-objective evolutionary algorithm for analog circuit sizing via self-adaptive incremental learning was presented in [44]. Simulation results on three real-world circuits showed the method’s superiority in reducing the total optimisation time compared to Bayesian optimisation.

Refer to caption
Figure 1: Illustration of the proposed global optimisation framework powered by machine learning algorithms. Block (a) shows the database generation and the development of offline machine learning models. Block (b) shows the interaction of optimisation algorithms with the developed machine learning models and spice simulations.

Surrogate models with high accuracy usually need a large number of circuit simulations. If the model is not accurate enough, it will affect the optimisation efficiency [45]. Surrogate-based optimisation (SBO) can be divided into offline SBO [46] and online SBO [47]. In offline SBO, an offline surrogate model is built initially with an extensive database. This model is used to replace the circuit simulations. In online SBO, the model is built on a small database initially but continuously retrained as and when new data points are added to the database during the optimisation run. Since the inaccuracy of a surrogate model is inevitable in both these cases, the model may erroneously lead the optimisation engine to a local or a false optimum. In offline SBO, during the local optimisation search, the constructed surrogate model could lead the optimisation engine to a false optimum as there is no additional validation with spice simulations. In the case of online SBO, the child population in each generation is ranked first by the surrogate model. Only the best candidate is subjected to spice simulations and added to the database. This will be an issue when none of the individuals in the child population satisfies all the constraints. Since the optimisation engine starts with a limited database, this is a major concern in the initial iterations, especially when a complex circuit with stringent specifications is considered. A recent study [48] has reported that no matter how accurate a machine learning model is for a single specific problem, a simple combination of evolutionary and machine learning methods cannot achieve the desired results without regular supervision by any physics-based tool. The inaccuracy of GA with a neural network model in converging to a global optimum without regular supervision using a physics-based tool has been proven with experimental results in [48]. A framework with an ML model and regular supervision with a physics-based tool is reported to have the highest optimisation efficiency. It would be productive to see how such a framework applies to analog circuit optimisation problems.

In this study, we use an ML surrogate model-based optimisation technique with regular supervision using a circuit simulation tool for analog circuit design. While designing an analog circuit, it is essential that the right operating region of the transistors is ensured for the circuit to work properly. For example, in a two-stage Miller compensated op-amp, which is one of the test cases considered in this study, it is vital to ensure that all the transistors are in the saturation region. We propose ML-based classification models in this work to predict whether the transistors are in the right region of operation. All the other relevant circuit specifications, such as gain, phase margin, bandwidth, etc., are modelled using ML-based regression models. We propose a spice simulation-guided ML surrogate-based optimisation framework in which ML surrogate models are used to check the feasibility of a new design point before invoking spice calls. We use GA as the optimisation algorithm because of its robustness in parallel implementation [41].

We analysed the performance of the proposed framework using three different analog circuits, namely, a bandgap reference (BGR) circuit, a folded cascode op-amp (FCOA), and a two-stage Miller compensated op-amp (TSMCOA). The effect of the novel techniques, namely, modified GA with ML-aided saturation prediction (MGA-MLSP) and modified GA with ML-aided saturation and constraint prediction (MGA-MLSCP) when compared with modified GA (MGA) [25] and standard GA (SGA) in reducing the spice simulations and precision improvement is summarised in Table 1. In the spice calls comparison, the column MGA-MLSCP shows the mean value of the number of spice simulations invoked during optimisation by MGA-MLSCP in multiple runs. The other columns reflect the spice simulations invoked by other methods in relation to MGA-MLSCP. MGA-MLSP and MGA-MLSCP take considerably fewer spice simulations than the other two methods. The ML-assisted algorithms perform the best in the test case of TSMCOA, where the design specifications are more stringent. We consider the input common-mode range (ICMR) specification in the TSMCOA test case, and the optimised design has to satisfy all the other circuit specifications in this range. This shows that MGA-MLSP and MGA-MLSCP perform better in cases where specifications are more stringent. When comparing the standard deviation (S.D.) of the optimal value obtained for multiple runs by the four approaches, MGA-MLSP and MGA-MLSCP have the lowest values for all three test cases, showing an improved precision. It can be inferred from the results in Table 1 that the proposed ML-assisted algorithms perform significantly better in terms of computational time and precision than SGA and MGA (More details on simulations in Section 3).

Table 1: Comparison of the number of spice simulations and improvement in precision by using the proposed framework with those of the competing frameworks on the three test circuits (Details in Section 3).
Comparison in terms spice calls
Test Case MGA-MLSCP MGA-MLSP MGA SGA
BGR 8178 1.1×\times× 1.4×\times× 2.3×\times×
FCOA 8639 1.4×\times× 2.4×\times× 2.5×\times×
TSMCOA 6994 1.5×\times× 3.6×\times× 6.2×\times×
Comparison in terms of error (S.D.)
Test Case MGA-MLSCP MGA-MLSP MGA SGA
BGR 0.294 0.456 0.554 2.421
FCOA 0.022 0.041 0.069 0.660
TSMCOA 0.001 0.002 0.005 0.022

The main contributions of the study are summarized below. (i) We demonstrate the application of machine learning-based offline surrogate models to achieve an optimal design for analog circuits under constant EDA tool supervision. (ii) We also show that machine learning classifiers efficiently predict the operating region of transistors in the analog circuits under study, with very high accuracy. It has significantly reduced the expensive circuit simulations undertaken during optimisation runs in test circuits considered in this study.

The rest of this paper is organised as follows. Section 2 details the ML-driven analog circuit design framework proposed in this study. The formulation of the optimisation problem for the three test circuits and the simulation results are discussed in Section 3. The concluding remarks are presented in Section 4.

2 Machine Learning-Driven Analog Circuit Design Framework

Input: N𝑁Nitalic_N, D𝐷Ditalic_D, genmax𝑔𝑒subscript𝑛gen_{\max}italic_g italic_e italic_n start_POSTSUBSCRIPT roman_max end_POSTSUBSCRIPT, LB𝐿𝐵LBitalic_L italic_B, UB𝑈𝐵UBitalic_U italic_B
Output: Best individual (Optimal solution)
1 for i1𝑖1i\leftarrow 1italic_i ← 1 to N𝑁Nitalic_N do
2      while true do
3             Form an individual, 𝒙isubscript𝒙𝑖{\bf\it x}_{i}bold_italic_x start_POSTSUBSCRIPT italic_i end_POSTSUBSCRIPT, by picking values randomly from [LB𝐿𝐵LBitalic_L italic_B, UB𝑈𝐵UBitalic_U italic_B]
4             if Feasibility check(𝐱isubscript𝐱𝑖{\bf\it x}_{i}bold_italic_x start_POSTSUBSCRIPT italic_i end_POSTSUBSCRIPT) = Passed then
5                  Break.
6             end if
7            
8       end while
9      
10 end for
11for gen1𝑔𝑒𝑛1gen\leftarrow 1italic_g italic_e italic_n ← 1 to genmax𝑔𝑒subscript𝑛gen_{\max}italic_g italic_e italic_n start_POSTSUBSCRIPT roman_max end_POSTSUBSCRIPT do
12       for i1𝑖1i\leftarrow 1italic_i ← 1 to N𝑁Nitalic_N do
13             while true do
14                   Randomly pick two parents and the crossover point.
15                   Generate crossover offspring, 𝒙c,isubscript𝒙𝑐𝑖{\bf\it x}_{c,i}bold_italic_x start_POSTSUBSCRIPT italic_c , italic_i end_POSTSUBSCRIPT.
16                   if Feasibility check(𝐱c,isubscript𝐱𝑐𝑖{\bf\it x}_{c,i}bold_italic_x start_POSTSUBSCRIPT italic_c , italic_i end_POSTSUBSCRIPT) = Passed  then
17                        Break.
18                   end if
19                  
20             end while
21            while true do
22                   Find search space parameter, α𝛼\alphaitalic_α and outer bounds for mutation.
23                   Randomly pick mutationcount𝑚𝑢𝑡𝑎𝑡𝑖𝑜subscript𝑛𝑐𝑜𝑢𝑛𝑡mutation_{count}italic_m italic_u italic_t italic_a italic_t italic_i italic_o italic_n start_POSTSUBSCRIPT italic_c italic_o italic_u italic_n italic_t end_POSTSUBSCRIPT and mutationno𝑚𝑢𝑡𝑎𝑡𝑖𝑜subscript𝑛𝑛𝑜mutation_{no}italic_m italic_u italic_t italic_a italic_t italic_i italic_o italic_n start_POSTSUBSCRIPT italic_n italic_o end_POSTSUBSCRIPT.
24                   Generate mutated crossed offspring, 𝒙cm,isubscript𝒙𝑐𝑚𝑖{\bf\it x}_{cm,i}bold_italic_x start_POSTSUBSCRIPT italic_c italic_m , italic_i end_POSTSUBSCRIPT.
25                   if Feasibility check(𝐱cm,isubscript𝐱𝑐𝑚𝑖{\bf\it x}_{cm,i}bold_italic_x start_POSTSUBSCRIPT italic_c italic_m , italic_i end_POSTSUBSCRIPT) = Passed  then
26                        Break.
27                   end if
28                  
29             end while
30            while true do
31                   Find search space parameter, α𝛼\alphaitalic_α and outer bounds for mutation.
32                   Randomly pick a parent, mutationcount𝑚𝑢𝑡𝑎𝑡𝑖𝑜subscript𝑛𝑐𝑜𝑢𝑛𝑡mutation_{count}italic_m italic_u italic_t italic_a italic_t italic_i italic_o italic_n start_POSTSUBSCRIPT italic_c italic_o italic_u italic_n italic_t end_POSTSUBSCRIPT and mutationno𝑚𝑢𝑡𝑎𝑡𝑖𝑜subscript𝑛𝑛𝑜mutation_{no}italic_m italic_u italic_t italic_a italic_t italic_i italic_o italic_n start_POSTSUBSCRIPT italic_n italic_o end_POSTSUBSCRIPT.
33                   Generate mutated parent offspring, 𝒙pm,isubscript𝒙𝑝𝑚𝑖{\bf\it x}_{pm,i}bold_italic_x start_POSTSUBSCRIPT italic_p italic_m , italic_i end_POSTSUBSCRIPT.
34                   if Feasibility check(𝐱pm,isubscript𝐱𝑝𝑚𝑖{\bf\it x}_{pm,i}bold_italic_x start_POSTSUBSCRIPT italic_p italic_m , italic_i end_POSTSUBSCRIPT) = Passed  then
35                        Break.
36                   end if
37                  
38             end while
39            
40       end for
41      Pool together the parents, 𝑿𝑿{\bf\it X}bold_italic_X and offspring, 𝑿csubscript𝑿𝑐{\bf\it X}_{c}bold_italic_X start_POSTSUBSCRIPT italic_c end_POSTSUBSCRIPT, 𝑿cmsubscript𝑿𝑐𝑚{\bf\it X}_{cm}bold_italic_X start_POSTSUBSCRIPT italic_c italic_m end_POSTSUBSCRIPT, and 𝑿pmsubscript𝑿𝑝𝑚{\bf\it X}_{pm}bold_italic_X start_POSTSUBSCRIPT italic_p italic_m end_POSTSUBSCRIPT, to form 𝑿newsubscript𝑿𝑛𝑒𝑤{\bf\it X}_{new}bold_italic_X start_POSTSUBSCRIPT italic_n italic_e italic_w end_POSTSUBSCRIPT.
42       Sort 𝑿newsubscript𝑿𝑛𝑒𝑤{\bf\it X}_{new}bold_italic_X start_POSTSUBSCRIPT italic_n italic_e italic_w end_POSTSUBSCRIPT according to fitness function value.
43       Select fittest N𝑁Nitalic_N individuals to form new population, 𝑿𝑿{\bf\it X}bold_italic_X.
44       Update best individual.
45      
46 end for
End Function
Algorithm 1 Modified GA (MGA) with saturation and constraint prediction (MGA-MLSCP).
Input: 𝒙𝒙{\bf\it x}bold_italic_x (new individual generated by GA)
Output: Passed or Not Passed
1 Function Feasibility check(𝐱𝐱{\bf\it x}bold_italic_x):
2       if ML classifier saturation check and regressor specification check passes then
3             Perform spice simulation
4             if Spice check passes then
5                  Passed
6            else
7                  Not passed
8             end if
9            
10      else
11            Not passed
12       end if
13      
14
End Function
Algorithm 2 Feasibility check

Analog circuit design involves a trade-off between various circuit parameters such as gain, power, speed, and supply voltage. As such, analog design can be considered a multidimensional constrained optimisation problem. To frame the analog circuit optimisation problem, the circuit specification that needs to be optimised is regarded as the objective function, and the other circuit specifications are modeled as the constraints for the problem. The design parameters of the analog circuit are considered as the decision variables, and their bounds form the search space for the optimisation problem. Any suitable optimisation algorithm can then be applied in the search space to find the optimum solution which satisfies all the constraints. In this study, we present an ML-aided framework for analog circuit design (Illustration in Fig. 1). ML models predict circuit specifications and transistor saturation conditions for every considered design during the optimisation run.

For ML-based optimisation of an analog circuit, a database needs to be developed for training the ML-based surrogate models (Fig. 1(a)). The database must contain information of all relevant design parameter values of the analog circuit and the resulting circuit specification values, including the operating region of all the transistors in the circuit. The circuit specification, which is to be regarded as the objective function to be optimised, and the circuit specifications to be considered as constraints are selected. Latin hypercube sampling (LHS) from the pyDOE package in Python is used to generate the initial database within the prescribed limits of the search space, with parallelised ngspice simulations. The database is populated with saturation information of each of the transistors in the circuit, as well as the constraint circuit specifications for all the design points generated using LHS. We train ML-based offline surrogate models to predict various parameters of an analog circuit using this database. We build ML regression models to predict the relevant circuit specifications and ML classification models to predict the transistors’ saturation conditions for the analog circuit.

Depending on the circuit, ML regression models are built separately for each circuit specification, such as gain, phase margin, bandwidth, etc.. These models are tuned independently for each circuit specification for the best performance. The transistors must meet the saturation condition for the proper functioning of analog circuits. During an optimisation run with GA and parallel spice simulations, without using any ML model predictions, we observe that the saturation condition fails many times, leading to a substantial increase in total spice simulations. We thus use ML classification models to predict whether each transistor is in saturation, which can significantly reduce the spice simulations invoked during the optimisation run. ML classification models have been used for the saturation prediction of the transistors in all the test cases. The number of spice simulations required for building the database is less when compared with the number of spice simulations saved in the optimisation process. After using different ML models, we find multi-layer perceptron (MLP) and random forest (RF) regression models most suitable to predict the circuit specifications, and we use MLP classification models to predict the saturation condition of transistors.

The optimisation algorithm interacts with the learned ML-based offline surrogate models along with spice simulations to give an optimal design for the analog circuit under study (Fig. 1(b)). We use an adapted and parallelized version of GA, MGA [25] as the optimisation algorithm with ngspice as the circuit simulator. Spice simulations, along with ML model predictions, guide the GA to the global optimal solution in the search space. The aim of using such a framework for analog circuit optimisation is to increase the optimisation efficiency and to reduce the spice simulations undertaken during the optimisation run.

The results using two variants of the proposed framework, namely, MGA-MLSP and MGA-MLSCP, are presented in this work and compared with respect to the optimal solution obtained and the number of spice simulations needed during optimisation. In MGA-MLSP, whenever a new individual is created in the current population in GA, the saturation condition of each transistor in the circuit is predicted using ML classifiers. The spice simulations are invoked only if all transistors are predicted to be in saturation. Similarly, in MGA-MLSCP, whenever a new individual is formed in GA, along with the saturation condition by ML classifiers, the constraints are also predicted by ML regression models. Spice simulations are invoked if the ML models predict that both the saturation and constraint conditions are being met by the particular design. Algorithms 1 and 2 give details of the feasibility check undertaken using ML models and MGA-MLSCP algorithms. Note that N𝑁Nitalic_N, D𝐷Ditalic_D, genmax𝑔𝑒subscript𝑛gen_{\max}italic_g italic_e italic_n start_POSTSUBSCRIPT roman_max end_POSTSUBSCRIPT, LB𝐿𝐵LBitalic_L italic_B, and UB𝑈𝐵UBitalic_U italic_B represent the population size, the dimension of the search space, the maximum number of generations, lower bound and upper bound of the decision variables, respectively. We describe the optimisation algorithm and the ML models used in this study next.

2.1 Genetic Algorithm

GA is a metaheuristic optimisation method based on the theory of natural evolution, introduced by John Holland in 1971 [49]. The concept of survival of the fittest mainly governs this algorithm. When applied to an optimisation problem, the algorithm imitates the process of natural selection to find the fittest individuals in a population. These fittest individuals are then selected for reproduction to create new offspring for the next generation. The adapted GA used in this study, MGA [25], has a search space parameter α𝛼\alphaitalic_α, which decreases linearly over the generations, thereby limiting the search space of the algorithm and aiding in faster convergence. After selection based on the fitness scores of all the individuals, parents are randomly selected to create offspring in each generation using a crossover operation, with the crossover point selected randomly. For each crossover offspring created, a mutated offspring is also generated. The number of genes to be mutated and which genes to be mutated are picked randomly for the mutation operation. Some parents are also randomly picked to create mutated offspring. The parents, crossover offspring, crossover mutated offspring, and parent mutated offspring are then pooled together, and the fittest among them are carried over to the next generation.

2.2 Machine Learning Models

We now discuss two machine learning models, multi-layer Perceptrons (MLP) and random forests (RF), employed in this study. We use MLP and RF regression models to estimate circuit specifications such as phase margin and gain. MLP classifiers are used to predict transistor saturation conditions. We also studied other standard machine learning classifiers, such as k𝑘kitalic_k-nearest neighbors and support vector machines. We discard them in the discussion as we found that MLP and RF worked more efficiently in our empirical study.

Multi-Layer Perceptrons (MLP)

MLP is a supervised learning algorithm that is a fully connected feed-forward ANN and is used in the literature for analog circuit optimisation [50, 51]. We use the training data to train the model or the function, f(.):RmRnf(.):R^{m}\xrightarrow{}R^{n}italic_f ( . ) : italic_R start_POSTSUPERSCRIPT italic_m end_POSTSUPERSCRIPT start_ARROW start_OVERACCENT end_OVERACCENT → end_ARROW italic_R start_POSTSUPERSCRIPT italic_n end_POSTSUPERSCRIPT, where m𝑚mitalic_m and n𝑛nitalic_n are the input and output dimensions, respectively. For a given set of features and a target, MLP can model a non-linear function for both classification and regression. It has an input layer, an output layer, and one or more middle layers, called hidden layers. Every input feature has a neuron or node in the input layer. Similarly, there is a node for every output in the output layer. Each hidden layer can have any number of nodes. The nodes in the input layer take the input and forward it to every node in the first hidden layer. The hidden layers transform the input using a weighted linear summation and a non-linear activation function. This information is then passed onto the nodes in the output layer. In this study, both MLP regression models and classification models have been used for prediction.

Random Forests (RF)

RF is a popular supervised machine learning model using an ensemble learning technique, and has been reported for the design of analog circuits [36]. It can be modeled for classification as well as regression problems. RF works by constructing several decision trees (or weak learners) in various sub-samples of the data set during the training phase. Instead of relying on a single decision tree, RF considers the prediction by each decision tree and predicts the final output depending on the majority prediction by all the decision trees. It uses averaging to improve the predictive accuracy and control over-fitting. In classification problems, the final output of the RF will be the class predicted by most of the decision trees, whereas, in regression problems, the final output will be the average of the predicted outcome by all of the decision trees. In this study, we use RF for regression.

3 Optimisation Problem Formulation and Simulation Results

We implement the proposed approach in the design of a bandgap reference circuit, a folded cascode op-amp, and a two-stage Miller compensated op-amp. The ML-assisted optimisation framework is implemented in Python, and the circuit simulations are carried out in ngspice. We use ML classification and regression models implementations from scikit-learn [52]. The simulation is carried out in a workstation with Intel® Xeon® Gold 6240R CPU @ 2.40 GHz and 256 GB RAM. Parallel processing has been implemented in the optimisation methodology to update each individual in the population, thereby reducing the algorithm’s run time. For each circuit under study, 20 consecutive runs have been carried out with approaches SGA, MGA, MGA-MLSP, and MGA-MLSCP. For a fair comparison, the population size and the maximum number of generations are fixed as 20 and 200, respectively, in all the simulations. Out of the 20 runs, we discuss the best, worst, mean, and S.D. of the fitness function value and the average and median of spice calls per run for all the test cases considered and compare the results for different approaches.

3.1 Bandgap Reference

Refer to caption
Figure 2: Schematic of bandgap reference.
Table 2: Design parameters and their ranges considered for BGR optimisation
Parameter LB UB Parameter LB UB
W1,2subscript𝑊12W_{1,2}italic_W start_POSTSUBSCRIPT 1 , 2 end_POSTSUBSCRIPT 180 nmtimes180nanometer180\text{\,}\mathrm{nm}start_ARG 180 end_ARG start_ARG times end_ARG start_ARG roman_nm end_ARG 50 µmtimes50micrometer50\text{\,}\mathrm{\SIUnitSymbolMicro m}start_ARG 50 end_ARG start_ARG times end_ARG start_ARG roman_µ roman_m end_ARG R2subscript𝑅2R_{2}italic_R start_POSTSUBSCRIPT 2 end_POSTSUBSCRIPT 1 ktimes1kiloohm1\text{\,}\mathrm{k\SIUnitSymbolOhm}start_ARG 1 end_ARG start_ARG times end_ARG start_ARG roman_k roman_Ω end_ARG 150 ktimes150kiloohm150\text{\,}\mathrm{k\SIUnitSymbolOhm}start_ARG 150 end_ARG start_ARG times end_ARG start_ARG roman_k roman_Ω end_ARG
W3,4subscript𝑊34W_{3,4}italic_W start_POSTSUBSCRIPT 3 , 4 end_POSTSUBSCRIPT 180 nmtimes180nanometer180\text{\,}\mathrm{nm}start_ARG 180 end_ARG start_ARG times end_ARG start_ARG roman_nm end_ARG 50 µmtimes50micrometer50\text{\,}\mathrm{\SIUnitSymbolMicro m}start_ARG 50 end_ARG start_ARG times end_ARG start_ARG roman_µ roman_m end_ARG L1,2subscript𝐿12L_{1,2}italic_L start_POSTSUBSCRIPT 1 , 2 end_POSTSUBSCRIPT 180 nmtimes180nanometer180\text{\,}\mathrm{nm}start_ARG 180 end_ARG start_ARG times end_ARG start_ARG roman_nm end_ARG 1 µmtimes1micrometer1\text{\,}\mathrm{\SIUnitSymbolMicro m}start_ARG 1 end_ARG start_ARG times end_ARG start_ARG roman_µ roman_m end_ARG
W5subscript𝑊5W_{5}italic_W start_POSTSUBSCRIPT 5 end_POSTSUBSCRIPT 180 nmtimes180nanometer180\text{\,}\mathrm{nm}start_ARG 180 end_ARG start_ARG times end_ARG start_ARG roman_nm end_ARG 50 µmtimes50micrometer50\text{\,}\mathrm{\SIUnitSymbolMicro m}start_ARG 50 end_ARG start_ARG times end_ARG start_ARG roman_µ roman_m end_ARG L3,4subscript𝐿34L_{3,4}italic_L start_POSTSUBSCRIPT 3 , 4 end_POSTSUBSCRIPT 180 nmtimes180nanometer180\text{\,}\mathrm{nm}start_ARG 180 end_ARG start_ARG times end_ARG start_ARG roman_nm end_ARG 1 µmtimes1micrometer1\text{\,}\mathrm{\SIUnitSymbolMicro m}start_ARG 1 end_ARG start_ARG times end_ARG start_ARG roman_µ roman_m end_ARG
R1subscript𝑅1R_{1}italic_R start_POSTSUBSCRIPT 1 end_POSTSUBSCRIPT 500 times500ohm500\text{\,}\mathrm{\SIUnitSymbolOhm}start_ARG 500 end_ARG start_ARG times end_ARG start_ARG roman_Ω end_ARG 5 ktimes5kiloohm5\text{\,}\mathrm{k\SIUnitSymbolOhm}start_ARG 5 end_ARG start_ARG times end_ARG start_ARG roman_k roman_Ω end_ARG L5subscript𝐿5L_{5}italic_L start_POSTSUBSCRIPT 5 end_POSTSUBSCRIPT 180 nmtimes180nanometer180\text{\,}\mathrm{nm}start_ARG 180 end_ARG start_ARG times end_ARG start_ARG roman_nm end_ARG 1 µmtimes1micrometer1\text{\,}\mathrm{\SIUnitSymbolMicro m}start_ARG 1 end_ARG start_ARG times end_ARG start_ARG roman_µ roman_m end_ARG

Fig. 2 shows the circuit diagram of the BGR considered for optimisation. This circuit works on the principle of adding two voltages that vary in opposite directions with temperature, generating a reference voltage with zero overall temperature coefficient (TC). To frame the optimisation problem for the BGR, transistors M11{}_{\text{1}}start_FLOATSUBSCRIPT 1 end_FLOATSUBSCRIPT and M22{}_{\text{2}}start_FLOATSUBSCRIPT 2 end_FLOATSUBSCRIPT, and M33{}_{\text{3}}start_FLOATSUBSCRIPT 3 end_FLOATSUBSCRIPT and M44{}_{\text{4}}start_FLOATSUBSCRIPT 4 end_FLOATSUBSCRIPT are assumed to be matched pairwise. The circuit specifications are considered as the constraints for this study. The saturation condition is also added as a constraint for the proper working of the circuit. The widths of the MOS transistors and the resistances in Fig. 2 are chosen as the decision variables. Since the main objective of a BGR is to produce a temperature-independent voltage, the TC of the BGR is considered as the fitness function. For the optimisation problem, we define the individual 𝒙𝒙{\bf\it x}bold_italic_x as

𝒙=(W1,2,W3,4,W5,R1,R2,L1,2,L3,4,L5)𝒙subscript𝑊12subscript𝑊34subscript𝑊5subscript𝑅1subscript𝑅2subscript𝐿12subscript𝐿34subscript𝐿5{\bf\it x}=(W_{1,2},W_{3,4},W_{5},R_{1},R_{2},L_{1,2},L_{3,4},L_{5})bold_italic_x = ( italic_W start_POSTSUBSCRIPT 1 , 2 end_POSTSUBSCRIPT , italic_W start_POSTSUBSCRIPT 3 , 4 end_POSTSUBSCRIPT , italic_W start_POSTSUBSCRIPT 5 end_POSTSUBSCRIPT , italic_R start_POSTSUBSCRIPT 1 end_POSTSUBSCRIPT , italic_R start_POSTSUBSCRIPT 2 end_POSTSUBSCRIPT , italic_L start_POSTSUBSCRIPT 1 , 2 end_POSTSUBSCRIPT , italic_L start_POSTSUBSCRIPT 3 , 4 end_POSTSUBSCRIPT , italic_L start_POSTSUBSCRIPT 5 end_POSTSUBSCRIPT ) (1)

and the objective function, temperature coefficient (TC), as

TC=(VREF,125 °CVREF,40 °C)×106VREF,27 °C×165 ppm/°C.𝑇𝐶subscript𝑉𝑅𝐸𝐹times125celsiussubscript𝑉𝑅𝐸𝐹times-40celsiusE6subscript𝑉𝑅𝐸𝐹times27celsius165timesabsentppm°CTC=\frac{(V_{REF,$125\text{\,}\mathrm{\SIUnitSymbolCelsius}$}-V_{REF,$-40\text% {\,}\mathrm{\SIUnitSymbolCelsius}$})\times${10}^{6}$}{V_{REF,$27\text{\,}% \mathrm{\SIUnitSymbolCelsius}$}\times 165}\,\,$\text{\,}\mathrm{p}\mathrm{p}% \mathrm{m}\mathrm{/}\mathrm{\SIUnitSymbolCelsius}$.italic_T italic_C = divide start_ARG ( italic_V start_POSTSUBSCRIPT italic_R italic_E italic_F , start_ARG 125 end_ARG start_ARG times end_ARG start_ARG °C end_ARG end_POSTSUBSCRIPT - italic_V start_POSTSUBSCRIPT italic_R italic_E italic_F , start_ARG - 40 end_ARG start_ARG times end_ARG start_ARG °C end_ARG end_POSTSUBSCRIPT ) × start_ARG end_ARG start_ARG ⁢ end_ARG start_ARG power start_ARG 10 end_ARG start_ARG 6 end_ARG end_ARG end_ARG start_ARG italic_V start_POSTSUBSCRIPT italic_R italic_E italic_F , start_ARG 27 end_ARG start_ARG times end_ARG start_ARG °C end_ARG end_POSTSUBSCRIPT × 165 end_ARG start_ARG end_ARG start_ARG times end_ARG start_ARG roman_ppm / °C end_ARG . (2)

We then formulate the optimisation problem as follows:

min\displaystyle{\min}roman_min TC𝑇𝐶\displaystyle TCitalic_T italic_C
subject to Power supply rejection ratio (PSRR)15 dBPower supply rejection ratio 𝑃𝑆𝑅𝑅times15decibel\displaystyle\text{Power supply rejection ratio }(PSRR)\geq$15\text{\,}\mathrm% {dB}$Power supply rejection ratio ( italic_P italic_S italic_R italic_R ) ≥ start_ARG 15 end_ARG start_ARG times end_ARG start_ARG roman_dB end_ARG
Reference voltage variation (ΔVREF)5 mVReference voltage variation Δsubscript𝑉𝑅𝐸𝐹times5millivolt\displaystyle\text{Reference voltage variation }(\Delta V_{REF})\leq$5\text{\,% }\mathrm{mV}$Reference voltage variation ( roman_Δ italic_V start_POSTSUBSCRIPT italic_R italic_E italic_F end_POSTSUBSCRIPT ) ≤ start_ARG 5 end_ARG start_ARG times end_ARG start_ARG roman_mV end_ARG
Power dissipation (P)600 µWPower dissipation 𝑃times600microwatt\displaystyle\text{Power dissipation }(P)\leq$600\text{\,}\mathrm{% \SIUnitSymbolMicro W}$Power dissipation ( italic_P ) ≤ start_ARG 600 end_ARG start_ARG times end_ARG start_ARG roman_µ roman_W end_ARG
40 °CTemperature (T)125 °Ctimes-40celsiusTemperature 𝑇times125celsius\displaystyle$-40\text{\,}\mathrm{\SIUnitSymbolCelsius}$\leq\text{Temperature % }(T)\leq$125\text{\,}\mathrm{\SIUnitSymbolCelsius}$start_ARG - 40 end_ARG start_ARG times end_ARG start_ARG °C end_ARG ≤ Temperature ( italic_T ) ≤ start_ARG 125 end_ARG start_ARG times end_ARG start_ARG °C end_ARG
Area (A)500 µm2Area 𝐴times500µsuperscriptm2\displaystyle\text{Area }(A)\leq$500\text{\,}\mathrm{\SIUnitSymbolMicro}% \mathrm{m}^{2}$Area ( italic_A ) ≤ start_ARG 500 end_ARG start_ARG times end_ARG start_ARG roman_µ roman_m start_POSTSUPERSCRIPT 2 end_POSTSUPERSCRIPT end_ARG
1Aspect ratio (W/L)1001Aspect ratio 𝑊𝐿100\displaystyle 1\leq\text{Aspect ratio }(W/L)\leq 1001 ≤ Aspect ratio ( italic_W / italic_L ) ≤ 100
180 nmLength (L)5 µmtimes180nanometerLength 𝐿times5micrometer\displaystyle$180\text{\,}\mathrm{nm}$\leq\text{Length }(L)\leq$5\text{\,}% \mathrm{\SIUnitSymbolMicro m}$start_ARG 180 end_ARG start_ARG times end_ARG start_ARG roman_nm end_ARG ≤ Length ( italic_L ) ≤ start_ARG 5 end_ARG start_ARG times end_ARG start_ARG roman_µ roman_m end_ARG
Noise(Sn(f))2 µV/Hz at 1 MHzNoisesubscript𝑆𝑛𝑓2 µV/Hz at 1 MHz\displaystyle\text{Noise}(S_{n}(f))\leq$2\text{\,}\mathrm{\SIUnitSymbolMicro}% \mathrm{V}\mathrm{/}\sqrt{Hz}$\text{ at }$1\text{\,}\mathrm{MHz}$Noise ( italic_S start_POSTSUBSCRIPT italic_n end_POSTSUBSCRIPT ( italic_f ) ) ≤ start_ARG 2 end_ARG start_ARG times end_ARG start_ARG roman_µ roman_V / square-root start_ARG italic_H italic_z end_ARG end_ARG at start_ARG 1 end_ARG start_ARG times end_ARG start_ARG roman_MHz end_ARG
All transistors in saturation.All transistors in saturation\displaystyle\text{All transistors in saturation}.All transistors in saturation .

The BGR circuit is designed in 180 nmtimes180nanometer180\text{\,}\mathrm{nm}start_ARG 180 end_ARG start_ARG times end_ARG start_ARG roman_nm end_ARG technology with a supply voltage of 1.8 Vtimes1.8volt1.8\text{\,}\mathrm{V}start_ARG 1.8 end_ARG start_ARG times end_ARG start_ARG roman_V end_ARG. The typical-typical (TT) corner transistor models are used for the optimisation. The range for the design parameters considered in the optimisation problem is given in Table 2.

MLP-based classifiers are trained to predict the saturation conditions. MLP classifiers are modeled at both extremities of the temperature range of the BGR; i.e., a total of ten MLP classifiers are built. ML regression models are trained for predicting the constraints of PSRR𝑃𝑆𝑅𝑅PSRRitalic_P italic_S italic_R italic_R, ΔVREFΔsubscript𝑉𝑅𝐸𝐹\Delta V_{REF}roman_Δ italic_V start_POSTSUBSCRIPT italic_R italic_E italic_F end_POSTSUBSCRIPT, and Sn(f)subscript𝑆𝑛𝑓S_{n}(f)italic_S start_POSTSUBSCRIPT italic_n end_POSTSUBSCRIPT ( italic_f ). MLP-based regression models have been used for PSRR𝑃𝑆𝑅𝑅PSRRitalic_P italic_S italic_R italic_R and VREFsubscript𝑉𝑅𝐸𝐹V_{REF}italic_V start_POSTSUBSCRIPT italic_R italic_E italic_F end_POSTSUBSCRIPT prediction, and an RF regressor has been used for Sn(f)subscript𝑆𝑛𝑓S_{n}(f)italic_S start_POSTSUBSCRIPT italic_n end_POSTSUBSCRIPT ( italic_f ) prediction. A total of 13,0001300013{,}00013 , 000 data points are generated using ngspice for the initial database. From which 80% data points are used for training and 20% data points are used for testing the ML models. The details of the ML classification and regression models are given in Table 3 and Table 4, respectively. In Table 4, R2superscript𝑅2R^{2}italic_R start_POSTSUPERSCRIPT 2 end_POSTSUPERSCRIPT, and MAE𝑀𝐴𝐸MAEitalic_M italic_A italic_E correspond to R squared value and mean absolute error of the respective regression models. R-squared is a statistical measure which gives an idea about the fit of a regression model. MAE is the average of the absolute differences between predicted and actual values across the dataset. In the rest of the manuscript, the notation MLP (a𝑎aitalic_a, b𝑏bitalic_b, c𝑐citalic_c) is used for MLP models where a𝑎aitalic_a, b𝑏bitalic_b, and c𝑐citalic_c represent the number of neurons in the first, second, and third layers, respectively. Similarly, the notation RF (n=a𝑛𝑎n=aitalic_n = italic_a) is used for RF models, where a𝑎aitalic_a represents the number of estimators.

Table 3: Performance of MLP classifiers, MLP(126, 64, 12) for saturation prediction for transistors in BGR optimisation.
Transistor Training Time (s) Testing Accuracy
M1subscript𝑀1M_{1}italic_M start_POSTSUBSCRIPT 1 end_POSTSUBSCRIPT@40 °Ctimes-40celsius-40\text{\,}\mathrm{\SIUnitSymbolCelsius}start_ARG - 40 end_ARG start_ARG times end_ARG start_ARG °C end_ARG 76.4 0.991
M1subscript𝑀1M_{1}italic_M start_POSTSUBSCRIPT 1 end_POSTSUBSCRIPT@125 °Ctimes125celsius125\text{\,}\mathrm{\SIUnitSymbolCelsius}start_ARG 125 end_ARG start_ARG times end_ARG start_ARG °C end_ARG 105.8 0.998
M2subscript𝑀2M_{2}italic_M start_POSTSUBSCRIPT 2 end_POSTSUBSCRIPT@40 °Ctimes-40celsius-40\text{\,}\mathrm{\SIUnitSymbolCelsius}start_ARG - 40 end_ARG start_ARG times end_ARG start_ARG °C end_ARG 101.7 0.975
M2subscript𝑀2M_{2}italic_M start_POSTSUBSCRIPT 2 end_POSTSUBSCRIPT@125 °Ctimes125celsius125\text{\,}\mathrm{\SIUnitSymbolCelsius}start_ARG 125 end_ARG start_ARG times end_ARG start_ARG °C end_ARG 96.4 0.987
M3subscript𝑀3M_{3}italic_M start_POSTSUBSCRIPT 3 end_POSTSUBSCRIPT@40 °Ctimes-40celsius-40\text{\,}\mathrm{\SIUnitSymbolCelsius}start_ARG - 40 end_ARG start_ARG times end_ARG start_ARG °C end_ARG 74.9 0.994
M3subscript𝑀3M_{3}italic_M start_POSTSUBSCRIPT 3 end_POSTSUBSCRIPT@125 °Ctimes125celsius125\text{\,}\mathrm{\SIUnitSymbolCelsius}start_ARG 125 end_ARG start_ARG times end_ARG start_ARG °C end_ARG 111.6 0.993
M4subscript𝑀4M_{4}italic_M start_POSTSUBSCRIPT 4 end_POSTSUBSCRIPT@40 °Ctimes-40celsius-40\text{\,}\mathrm{\SIUnitSymbolCelsius}start_ARG - 40 end_ARG start_ARG times end_ARG start_ARG °C end_ARG 80.8 0.999
M4subscript𝑀4M_{4}italic_M start_POSTSUBSCRIPT 4 end_POSTSUBSCRIPT@125 °Ctimes125celsius125\text{\,}\mathrm{\SIUnitSymbolCelsius}start_ARG 125 end_ARG start_ARG times end_ARG start_ARG °C end_ARG 69.1 0.998
M5subscript𝑀5M_{5}italic_M start_POSTSUBSCRIPT 5 end_POSTSUBSCRIPT@40 °Ctimes-40celsius-40\text{\,}\mathrm{\SIUnitSymbolCelsius}start_ARG - 40 end_ARG start_ARG times end_ARG start_ARG °C end_ARG 109.7 0.982
M5subscript𝑀5M_{5}italic_M start_POSTSUBSCRIPT 5 end_POSTSUBSCRIPT@125 °Ctimes125celsius125\text{\,}\mathrm{\SIUnitSymbolCelsius}start_ARG 125 end_ARG start_ARG times end_ARG start_ARG °C end_ARG 97.1 0.977
Table 4: Performance of ML regression models for constraint prediction in BGR optimisation.
Constraint Parameter ML Model Training Time (s) R2superscriptR2\emph{R}^{\emph{2}}R start_POSTSUPERSCRIPT 2 end_POSTSUPERSCRIPT MAE
PSRR𝑃𝑆𝑅𝑅PSRRitalic_P italic_S italic_R italic_R @ 1MHz (dBdecibel\mathrm{dB}roman_dB) MLP(128,64,16) 9.1 0.978 8.64×1018.64E-18.64\text{\times}{10}^{-1}start_ARG 8.64 end_ARG start_ARG times end_ARG start_ARG power start_ARG 10 end_ARG start_ARG - 1 end_ARG end_ARG
VREFsubscript𝑉𝑅𝐸𝐹V_{REF}italic_V start_POSTSUBSCRIPT italic_R italic_E italic_F end_POSTSUBSCRIPT (Vvolt\mathrm{V}roman_V) MLP(128,64,16) 2.0 0.995 1.6×1021.6E-21.6\text{\times}{10}^{-2}start_ARG 1.6 end_ARG start_ARG times end_ARG start_ARG power start_ARG 10 end_ARG start_ARG - 2 end_ARG end_ARG
Sn(f)subscript𝑆𝑛𝑓S_{n}(f)italic_S start_POSTSUBSCRIPT italic_n end_POSTSUBSCRIPT ( italic_f ) (µV/HzµV𝐻𝑧\mathrm{\SIUnitSymbolMicro}\mathrm{V}\mathrm{/}\sqrt{Hz}roman_µ roman_V / square-root start_ARG italic_H italic_z end_ARG) RF(n=100) 4.8 0.927 1.45×1071.45E-71.45\text{\times}{10}^{-7}start_ARG 1.45 end_ARG start_ARG times end_ARG start_ARG power start_ARG 10 end_ARG start_ARG - 7 end_ARG end_ARG
Table 5: Design parameters of the best solution obtained for BGR by SGA, MGA, MGA-MLSP, and MGA-MLSCP.
Design Parameter SGA MGA MGA-MLSP MGA-MLSCP
W1,2subscript𝑊12W_{1,2}italic_W start_POSTSUBSCRIPT 1 , 2 end_POSTSUBSCRIPT (µmmicrometer\mathrm{\SIUnitSymbolMicro m}roman_µ roman_m) 18.81 49.35 28.35 47.74
W3,4subscript𝑊34W_{3,4}italic_W start_POSTSUBSCRIPT 3 , 4 end_POSTSUBSCRIPT (µmmicrometer\mathrm{\SIUnitSymbolMicro m}roman_µ roman_m) 42.12 21.09 38.49 19.45
W5subscript𝑊5W_{5}italic_W start_POSTSUBSCRIPT 5 end_POSTSUBSCRIPT (µmmicrometer\mathrm{\SIUnitSymbolMicro m}roman_µ roman_m) 20.96 29.32 21.78 25.00
L1,2subscript𝐿12L_{1,2}italic_L start_POSTSUBSCRIPT 1 , 2 end_POSTSUBSCRIPT (µmmicrometer\mathrm{\SIUnitSymbolMicro m}roman_µ roman_m) 0.81 1.43 1.26 1.76
L3,4subscript𝐿34L_{3,4}italic_L start_POSTSUBSCRIPT 3 , 4 end_POSTSUBSCRIPT (µmmicrometer\mathrm{\SIUnitSymbolMicro m}roman_µ roman_m) 3.23 1.60 1.33 1.10
L5subscript𝐿5L_{5}italic_L start_POSTSUBSCRIPT 5 end_POSTSUBSCRIPT (µmmicrometer\mathrm{\SIUnitSymbolMicro m}roman_µ roman_m) 4.39 2.35 0.88 0.78
R1subscript𝑅1R_{1}italic_R start_POSTSUBSCRIPT 1 end_POSTSUBSCRIPT (kkiloohm\mathrm{k\SIUnitSymbolOhm}roman_k roman_Ω) 4.06 3.55 3.93 3.46
R2subscript𝑅2R_{2}italic_R start_POSTSUBSCRIPT 2 end_POSTSUBSCRIPT (kkiloohm\mathrm{k\SIUnitSymbolOhm}roman_k roman_Ω) 108.86 36.06 41.63 16.86
Table 6: BGR design specifications obtained for the best solution by SGA, MGA, MGA-MLSP, and MGA-MLSCP.
Design Criteria Specification SGA MGA MGA-MLSP MGA-MLSCP
PSRR𝑃𝑆𝑅𝑅PSRRitalic_P italic_S italic_R italic_R @ 1MHz (dBdecibel\mathrm{dB}roman_dB) 15absent15\geq 15≥ 15 16.64 17.11 16.63 16.90
P𝑃Pitalic_P (mWmilliwatt\mathrm{mW}roman_mW) 0.6absent0.6\leq 0.6≤ 0.6 0.063 0.091 0.079 0.120
A𝐴Aitalic_A (µm2µsuperscriptm2\mathrm{\SIUnitSymbolMicro}\mathrm{m}^{2}roman_µ roman_m start_POSTSUPERSCRIPT 2 end_POSTSUPERSCRIPT) 500absent500\leq 500≤ 500 394 277 192 231
Sn(f)subscript𝑆𝑛𝑓S_{n}(f)italic_S start_POSTSUBSCRIPT italic_n end_POSTSUBSCRIPT ( italic_f ) @ 1MHz (µV/HzµV𝐻𝑧\mathrm{\SIUnitSymbolMicro}\mathrm{V}\mathrm{/}\sqrt{Hz}roman_µ roman_V / square-root start_ARG italic_H italic_z end_ARG) 2absent2\leq 2≤ 2 1.45 1.22 1.23 1.07
ΔVREFΔsubscript𝑉𝑅𝐸𝐹\Delta V_{REF}roman_Δ italic_V start_POSTSUBSCRIPT italic_R italic_E italic_F end_POSTSUBSCRIPT (mVmillivolt\mathrm{mV}roman_mV) 5absent5\leq 5≤ 5 0.408 0.234 0.205 0.196
VREFsubscript𝑉𝑅𝐸𝐹V_{REF}italic_V start_POSTSUBSCRIPT italic_R italic_E italic_F end_POSTSUBSCRIPT (Vvolt\mathrm{V}roman_V) - 1.10 1.13 1.05 1.08
Saturation - Met Met Met Met
TC (ppm/°Cppm°C\mathrm{p}\mathrm{p}\mathrm{m}\mathrm{/}\mathrm{\SIUnitSymbolCelsius}roman_ppm / °C) minimise 2.253 1.268 1.179 1.109

The design parameters of the best solution and the corresponding specifications obtained by SGA, MGA, MGA-MLSP, and MGA-MLSCP are given in Table 5 and Table 6, respectively. The best, worst, mean, and S.D. of the fitness function value and the average number of spice calls per run for 20202020 consecutive runs for all four algorithms are shown in Table 15. The MGA attains a better result for the TC with lesser S.D. and 39% reduction in spice calls compared to SGA. MGA-MLSP and MGA-MLSCP attain better values for TC with further reduction in spice calls. MGA-MLSP and MGA-MLSCP converged to better values of 1.179 ppm/°Ctimes1.179ppm°C1.179\text{\,}\mathrm{p}\mathrm{p}\mathrm{m}\mathrm{/}\mathrm{\SIUnitSymbolCelsius}start_ARG 1.179 end_ARG start_ARG times end_ARG start_ARG roman_ppm / °C end_ARG and 1.109 ppm/°Ctimes1.109ppm°C1.109\text{\,}\mathrm{p}\mathrm{p}\mathrm{m}\mathrm{/}\mathrm{\SIUnitSymbolCelsius}start_ARG 1.109 end_ARG start_ARG times end_ARG start_ARG roman_ppm / °C end_ARG for TC with 51% and 56% reduction in the number of spice calls, respectively, when compareed to SGA. Fig. 3 shows the convergence characteristics of SGA, MGA, MGA-MLSP, and MGA-MLSCP with respect to spice simulations for a sample optimisation run for BGR. It is evident from the plot that MGA-MLSP and MGA-MLSCP are converging to optimal solution with fewer spice simulations than the other two algorithms.

Refer to caption
Figure 3: Comparison of convergence characteristics of SGA, MGA, MGA-MLSP, and MGA-MLSCP with respect to number of spice simulations for BGR optimisation.

3.2 Folded Cascode Operational Amplifier

Refer to caption
Figure 4: Schematic of a folded cascode op-amp.

Fig. 4 shows the circuit diagram of the FCOA considered for optimisation. To frame the optimisation problem for the circuit, transistors M11{}_{\text{1}}start_FLOATSUBSCRIPT 1 end_FLOATSUBSCRIPT and M22{}_{\text{2}}start_FLOATSUBSCRIPT 2 end_FLOATSUBSCRIPT, M33{}_{\text{3}}start_FLOATSUBSCRIPT 3 end_FLOATSUBSCRIPT, M44{}_{\text{4}}start_FLOATSUBSCRIPT 4 end_FLOATSUBSCRIPT, and Mbpbp{}_{\text{bp}}start_FLOATSUBSCRIPT bp end_FLOATSUBSCRIPT, Mbnbn{}_{\text{bn}}start_FLOATSUBSCRIPT bn end_FLOATSUBSCRIPT and M55{}_{\text{5}}start_FLOATSUBSCRIPT 5 end_FLOATSUBSCRIPT, M66{}_{\text{6}}start_FLOATSUBSCRIPT 6 end_FLOATSUBSCRIPT and M77{}_{\text{7}}start_FLOATSUBSCRIPT 7 end_FLOATSUBSCRIPT, M88{}_{\text{8}}start_FLOATSUBSCRIPT 8 end_FLOATSUBSCRIPT and M99{}_{\text{9}}start_FLOATSUBSCRIPT 9 end_FLOATSUBSCRIPT, and M1010{}_{\text{10}}start_FLOATSUBSCRIPT 10 end_FLOATSUBSCRIPT and M1111{}_{\text{11}}start_FLOATSUBSCRIPT 11 end_FLOATSUBSCRIPT are assumed to be matched pairwise. The circuit specifications and the transistor saturation conditions are considered the constraints for this optimisation problem. After a detailed circuit study, the widths of the transistors and the bias current are chosen as the decision variables. The area of the FCOA is considered as the fitness function. The individual, 𝒙𝒙{\bf\it x}bold_italic_x, and the objective function, f(𝒙)𝑓𝒙f({\bf\it x})italic_f ( bold_italic_x ), are given by:

𝒙=[W1,2,W3,4,bp,Wbn,5,W6,7,W8,9,W10,11,Ibias]𝒙subscript𝑊12subscript𝑊34𝑏𝑝subscript𝑊𝑏𝑛5subscript𝑊67subscript𝑊89subscript𝑊1011subscript𝐼𝑏𝑖𝑎𝑠{\bf\it x}=[W_{1,2},W_{3,4,bp},W_{bn,5},W_{6,7},W_{8,9},W_{10,11},I_{bias}]bold_italic_x = [ italic_W start_POSTSUBSCRIPT 1 , 2 end_POSTSUBSCRIPT , italic_W start_POSTSUBSCRIPT 3 , 4 , italic_b italic_p end_POSTSUBSCRIPT , italic_W start_POSTSUBSCRIPT italic_b italic_n , 5 end_POSTSUBSCRIPT , italic_W start_POSTSUBSCRIPT 6 , 7 end_POSTSUBSCRIPT , italic_W start_POSTSUBSCRIPT 8 , 9 end_POSTSUBSCRIPT , italic_W start_POSTSUBSCRIPT 10 , 11 end_POSTSUBSCRIPT , italic_I start_POSTSUBSCRIPT italic_b italic_i italic_a italic_s end_POSTSUBSCRIPT ] (3)

and

f(𝒙)=i=1MWi×Li,𝑓𝒙superscriptsubscript𝑖1𝑀subscript𝑊𝑖subscript𝐿𝑖f({\bf\it x})=\sum_{i=1}^{M}W_{i}\times L_{i},italic_f ( bold_italic_x ) = ∑ start_POSTSUBSCRIPT italic_i = 1 end_POSTSUBSCRIPT start_POSTSUPERSCRIPT italic_M end_POSTSUPERSCRIPT italic_W start_POSTSUBSCRIPT italic_i end_POSTSUBSCRIPT × italic_L start_POSTSUBSCRIPT italic_i end_POSTSUBSCRIPT , (4)

respectively, where M𝑀Mitalic_M is the number of transistors in the circuit, and Wisubscript𝑊𝑖W_{i}italic_W start_POSTSUBSCRIPT italic_i end_POSTSUBSCRIPT and Lisubscript𝐿𝑖L_{i}italic_L start_POSTSUBSCRIPT italic_i end_POSTSUBSCRIPT are the width and length of the ithsuperscript𝑖thi^{\text{th}}italic_i start_POSTSUPERSCRIPT th end_POSTSUPERSCRIPT transistor. For the circuit in Fig. 4, M=13𝑀13M=13italic_M = 13. We define the optimisation problem as follows.

min𝒙𝒙\displaystyle\underset{{\bf\it x}}{\min}underbold_italic_x start_ARG roman_min end_ARG f(𝒙)𝑓𝒙\displaystyle f({\bf\it x})italic_f ( bold_italic_x )
subject to Voltage gain (Av)40 dBVoltage gain subscript𝐴𝑣times40decibel\displaystyle\text{Voltage gain }(A_{v})\geq$40\text{\,}\mathrm{dB}$Voltage gain ( italic_A start_POSTSUBSCRIPT italic_v end_POSTSUBSCRIPT ) ≥ start_ARG 40 end_ARG start_ARG times end_ARG start_ARG roman_dB end_ARG
Power dissipation (P)5 mWPower dissipation 𝑃times5milliwatt\displaystyle\text{Power dissipation }(P)\leq$5\text{\,}\mathrm{mW}$Power dissipation ( italic_P ) ≤ start_ARG 5 end_ARG start_ARG times end_ARG start_ARG roman_mW end_ARG
Slew Rate (SR)20 V µs1Slew Rate 𝑆𝑅times20timesvoltmicrosecond1\displaystyle\text{Slew Rate }(SR)\geq$20\text{\,}\mathrm{V}\text{\,}{\mathrm{% \SIUnitSymbolMicro s}}^{-1}$Slew Rate ( italic_S italic_R ) ≥ start_ARG 20 end_ARG start_ARG times end_ARG start_ARG start_ARG roman_V end_ARG start_ARG times end_ARG start_ARG power start_ARG roman_µ roman_s end_ARG start_ARG - 1 end_ARG end_ARG end_ARG
Unity gain bandwidth (UGB)40 MHzUnity gain bandwidth 𝑈𝐺𝐵times40megahertz\displaystyle\text{Unity gain bandwidth }(UGB)\geq$40\text{\,}\mathrm{MHz}$Unity gain bandwidth ( italic_U italic_G italic_B ) ≥ start_ARG 40 end_ARG start_ARG times end_ARG start_ARG roman_MHz end_ARG
Phase margin (PM)60 °Phase margin 𝑃𝑀times60degree\displaystyle\text{Phase margin }(PM)\geq$60\text{\,}\mathrm{% \SIUnitSymbolDegree}$Phase margin ( italic_P italic_M ) ≥ start_ARG 60 end_ARG start_ARG times end_ARG start_ARG ° end_ARG
43Aspect ratio (W/L)30043Aspect ratio 𝑊𝐿300\displaystyle\frac{4}{3}\leq\text{Aspect ratio }(W/L)\leq 300divide start_ARG 4 end_ARG start_ARG 3 end_ARG ≤ Aspect ratio ( italic_W / italic_L ) ≤ 300
All transistors in saturation.All transistors in saturation\displaystyle\text{All transistors in saturation}.All transistors in saturation .

where 𝒙𝒙{{\bf\it x}}bold_italic_x and f(𝒙)𝑓𝒙{f({\bf\it x})}italic_f ( bold_italic_x ) are given by (3) and (4), respectively.

The FCOA is designed in a 180 nmtimes180nanometer180\text{\,}\mathrm{nm}start_ARG 180 end_ARG start_ARG times end_ARG start_ARG roman_nm end_ARG technology. The supply voltage, VDDsubscript𝑉𝐷𝐷V_{DD}italic_V start_POSTSUBSCRIPT italic_D italic_D end_POSTSUBSCRIPT, is taken as 1.8 Vtimes1.8volt1.8\text{\,}\mathrm{V}start_ARG 1.8 end_ARG start_ARG times end_ARG start_ARG roman_V end_ARG. The transistor lengths are taken as 180 nmtimes180nanometer180\text{\,}\mathrm{nm}start_ARG 180 end_ARG start_ARG times end_ARG start_ARG roman_nm end_ARG. A value of 5 pFtimes5picofarad5\text{\,}\mathrm{pF}start_ARG 5 end_ARG start_ARG times end_ARG start_ARG roman_pF end_ARG [28] is assumed for the load capacitance, CLsubscript𝐶𝐿C_{L}italic_C start_POSTSUBSCRIPT italic_L end_POSTSUBSCRIPT. The LB and UB for the decision variable, Ibiassubscript𝐼𝑏𝑖𝑎𝑠I_{bias}italic_I start_POSTSUBSCRIPT italic_b italic_i italic_a italic_s end_POSTSUBSCRIPT is considered as 1 µAtimes1microampere1\text{\,}\mathrm{\SIUnitSymbolMicro A}start_ARG 1 end_ARG start_ARG times end_ARG start_ARG roman_µ roman_A end_ARG and 1 mAtimes1milliampere1\text{\,}\mathrm{mA}start_ARG 1 end_ARG start_ARG times end_ARG start_ARG roman_mA end_ARG, respectively.

MLP classifiers are trained to predict the saturation conditions of all 13131313 transistors in FCOA. ML regression models are trained for predicting the constraints of Avsubscript𝐴𝑣A_{v}italic_A start_POSTSUBSCRIPT italic_v end_POSTSUBSCRIPT, P𝑃Pitalic_P, UGB𝑈𝐺𝐵UGBitalic_U italic_G italic_B, PM𝑃𝑀PMitalic_P italic_M, and SR𝑆𝑅SRitalic_S italic_R. The MLP regression model is used for Avsubscript𝐴𝑣A_{v}italic_A start_POSTSUBSCRIPT italic_v end_POSTSUBSCRIPT prediction. RF regression is used for all the other constraint predictions. From 20,0002000020{,}00020 , 000 data points generated using ngspice for the initial database, 80% data points are used for training, and 20% data points are used for testing the ML models. The details of the ML classification and regression models are given in Table 7 and Table 8, respectively.

Table 7: Performance of ML classifiers for saturation prediction of transistors for optimisation of FCOA.
Transistor ML Model Training Time (s) Testing Accuracy
M1subscript𝑀1M_{1}italic_M start_POSTSUBSCRIPT 1 end_POSTSUBSCRIPT MLP(128, 64, 16) 130.6 0.997
M2subscript𝑀2M_{2}italic_M start_POSTSUBSCRIPT 2 end_POSTSUBSCRIPT MLP(128, 64, 16) 183.2 0.998
M3subscript𝑀3M_{3}italic_M start_POSTSUBSCRIPT 3 end_POSTSUBSCRIPT MLP(128, 64, 16) 246.7 0.996
M4subscript𝑀4M_{4}italic_M start_POSTSUBSCRIPT 4 end_POSTSUBSCRIPT MLP(128, 64, 16) 250.9 0.996
M5subscript𝑀5M_{5}italic_M start_POSTSUBSCRIPT 5 end_POSTSUBSCRIPT MLP(128, 64, 16) 256.2 0.990
M6subscript𝑀6M_{6}italic_M start_POSTSUBSCRIPT 6 end_POSTSUBSCRIPT MLP(128, 64, 16) 259.3 0.990
M7subscript𝑀7M_{7}italic_M start_POSTSUBSCRIPT 7 end_POSTSUBSCRIPT MLP(128, 64, 16) 180.8 0.993
M8subscript𝑀8M_{8}italic_M start_POSTSUBSCRIPT 8 end_POSTSUBSCRIPT MLP(128, 64, 16) 229.2 0.990
M9subscript𝑀9M_{9}italic_M start_POSTSUBSCRIPT 9 end_POSTSUBSCRIPT MLP(100, 100) 223.7 0.991
M10subscript𝑀10M_{10}italic_M start_POSTSUBSCRIPT 10 end_POSTSUBSCRIPT MLP(100, 100) 117.8 0.993
M11subscript𝑀11M_{11}italic_M start_POSTSUBSCRIPT 11 end_POSTSUBSCRIPT MLP(128, 64, 16) 116.2 0.993
Mbnsubscript𝑀𝑏𝑛M_{bn}italic_M start_POSTSUBSCRIPT italic_b italic_n end_POSTSUBSCRIPT MLP(128, 64, 16) 203.5 0.994
Mbpsubscript𝑀𝑏𝑝M_{bp}italic_M start_POSTSUBSCRIPT italic_b italic_p end_POSTSUBSCRIPT MLP(128, 64, 16) 191.0 0.994
Table 8: Performance of ML regression models for constraint prediction in FCOA optimisation.
Constraint Parameter ML Model Training Time (s) R2superscriptR2\emph{R}^{\emph{2}}R start_POSTSUPERSCRIPT 2 end_POSTSUPERSCRIPT MAE
Avsubscript𝐴𝑣A_{v}italic_A start_POSTSUBSCRIPT italic_v end_POSTSUBSCRIPT (dBdecibel\mathrm{dB}roman_dB) MLP(128,64,20) 147.1 0.900 6.73×1016.73E-16.73\text{\times}{10}^{-1}start_ARG 6.73 end_ARG start_ARG times end_ARG start_ARG power start_ARG 10 end_ARG start_ARG - 1 end_ARG end_ARG
P𝑃Pitalic_P (mWmilliwatt\mathrm{mW}roman_mW) RF (n=500) 40.0 0.999 1.605×1051.605E-51.605\text{\times}{10}^{-5}start_ARG 1.605 end_ARG start_ARG times end_ARG start_ARG power start_ARG 10 end_ARG start_ARG - 5 end_ARG end_ARG
UGB𝑈𝐺𝐵UGBitalic_U italic_G italic_B (MHzmegahertz\mathrm{MHz}roman_MHz) RF(n=100) 11.4 0.978 2.13×1062.13E62.13\text{\times}{10}^{6}start_ARG 2.13 end_ARG start_ARG times end_ARG start_ARG power start_ARG 10 end_ARG start_ARG 6 end_ARG end_ARG
PM𝑃𝑀PMitalic_P italic_M ()(^{\circ})( start_POSTSUPERSCRIPT ∘ end_POSTSUPERSCRIPT ) RF(n=50) 3.8 0.942 5.89×1015.89E-15.89\text{\times}{10}^{-1}start_ARG 5.89 end_ARG start_ARG times end_ARG start_ARG power start_ARG 10 end_ARG start_ARG - 1 end_ARG end_ARG
SR𝑆𝑅SRitalic_S italic_R (V µs1timesvoltmicrosecond1\mathrm{V}\text{\,}{\mathrm{\SIUnitSymbolMicro s}}^{-1}start_ARG roman_V end_ARG start_ARG times end_ARG start_ARG power start_ARG roman_µ roman_s end_ARG start_ARG - 1 end_ARG end_ARG) RF(n=500) 44.9 0.985 1.01×1061.01E61.01\text{\times}{10}^{6}start_ARG 1.01 end_ARG start_ARG times end_ARG start_ARG power start_ARG 10 end_ARG start_ARG 6 end_ARG end_ARG
Table 9: Optimum parameters obtained by SGA, MGA, MGA-MLSP, and MGA-MLSCP for FCOA optimisation.
Design Parameter SGA MGA MGA-MLSP MGA-MLSCP
Ibiassubscript𝐼𝑏𝑖𝑎𝑠I_{bias}italic_I start_POSTSUBSCRIPT italic_b italic_i italic_a italic_s end_POSTSUBSCRIPT (µAmicroampere\mathrm{\SIUnitSymbolMicro A}roman_µ roman_A) 288.5 269.7 263.4 258.9
W1,2subscript𝑊12W_{1,2}italic_W start_POSTSUBSCRIPT 1 , 2 end_POSTSUBSCRIPT (nmnanometer\mathrm{nm}roman_nm) 7195 8285 8551 8614
W3,4,bnsubscript𝑊34𝑏𝑛W_{3,4,bn}italic_W start_POSTSUBSCRIPT 3 , 4 , italic_b italic_n end_POSTSUBSCRIPT (nmnanometer\mathrm{nm}roman_nm) 4349 3649 3554 3376
W5,bpsubscript𝑊5𝑏𝑝W_{5,bp}italic_W start_POSTSUBSCRIPT 5 , italic_b italic_p end_POSTSUBSCRIPT (nmnanometer\mathrm{nm}roman_nm) 1615 1194 1176 1177
W6,7subscript𝑊67W_{6,7}italic_W start_POSTSUBSCRIPT 6 , 7 end_POSTSUBSCRIPT (nmnanometer\mathrm{nm}roman_nm) 1011 849 798 754
W8,9subscript𝑊89W_{8,9}italic_W start_POSTSUBSCRIPT 8 , 9 end_POSTSUBSCRIPT (nmnanometer\mathrm{nm}roman_nm) 539 392 417 374
W10,11subscript𝑊1011W_{10,11}italic_W start_POSTSUBSCRIPT 10 , 11 end_POSTSUBSCRIPT (nmnanometer\mathrm{nm}roman_nm) 6401 6114 6017 6295
Table 10: Design specifications obtained for the area optimisation of FCOA by SGA, MGA, MGA-MLSP, and MGA-MLSCP.
Design Criteria Specifications SGA MGA MGA-MLSP MGA-MLSCP
Avsubscript𝐴𝑣A_{v}italic_A start_POSTSUBSCRIPT italic_v end_POSTSUBSCRIPT (dBdecibel\mathrm{dB}roman_dB) 40absent40\geq 40≥ 40 40.47 40.51 40.96 41.01
UGB𝑈𝐺𝐵UGBitalic_U italic_G italic_B (MHzmegahertz\mathrm{MHz}roman_MHz) 40absent40\geq 40≥ 40 40.02 40.00 40.00 40.00
PM𝑃𝑀PMitalic_P italic_M ()(^{\circ})( start_POSTSUPERSCRIPT ∘ end_POSTSUPERSCRIPT ) 60absent60\geq 60≥ 60 89.97 89.92 89.87 89.89
SR𝑆𝑅SRitalic_S italic_R (V µs1timesvoltmicrosecond1\mathrm{V}\text{\,}{\mathrm{\SIUnitSymbolMicro s}}^{-1}start_ARG roman_V end_ARG start_ARG times end_ARG start_ARG power start_ARG roman_µ roman_s end_ARG start_ARG - 1 end_ARG end_ARG) 20absent20\geq 20≥ 20 22.19 21.91 21.02 21.53
P𝑃Pitalic_P (mWmilliwatt\mathrm{mW}roman_mW) 5absent5\leq 5≤ 5 1.379 1.281 1.251 1.230
Saturation - Met Met Met Met
A (µm2µsuperscriptm2\mathrm{\SIUnitSymbolMicro}\mathrm{m}^{2}roman_µ roman_m start_POSTSUPERSCRIPT 2 end_POSTSUPERSCRIPT) - 8.305 8.031 8.024 8.020

The design parameters of the best solution and the corresponding specifications obtained by SGA, MGA, MGA-MLSP, and MGA-MLSCP are summarised in Table 9 and Table 10, respectively. Table 15 compares 20202020 consecutive runs for all four cases. The best, worst, mean, and S.D. of the fitness function value and the average number of spice calls per run for all the cases are presented. The MGA can attain a better result for the area with considerably less S.D.. MGA-MLSP and MGA-MLSCP attained better values for the area with a substantial reduction in spice calls. MGA-MLSP and MGA-MLSCP attained better values of 8.024 µm2times8.024µsuperscriptm28.024\text{\,}\mathrm{\SIUnitSymbolMicro}\mathrm{m}^{2}start_ARG 8.024 end_ARG start_ARG times end_ARG start_ARG roman_µ roman_m start_POSTSUPERSCRIPT 2 end_POSTSUPERSCRIPT end_ARG and 8.020 µm2times8.020µsuperscriptm28.020\text{\,}\mathrm{\SIUnitSymbolMicro}\mathrm{m}^{2}start_ARG 8.020 end_ARG start_ARG times end_ARG start_ARG roman_µ roman_m start_POSTSUPERSCRIPT 2 end_POSTSUPERSCRIPT end_ARG for the area with 43% and 60% reduction in the number of spice calls, respectively. Fig. 5 shows the convergence characteristics of SGA, MGA, MGA-MLSP, and MGA-MLSCP with respect to spice simulations for FCOA optimisation. It is evident that MGA-MLSP and MGA-MLSCP converge to the optimal solution with significantly fewer spice simulations when compared with SGA and MGA.

Refer to caption
Figure 5: Comparison of convergence characteristics of SGA, MGA, MGA-MLSP, and MGA-MLSCP with respect to the number of spice simulations for FCOA optimisation.

3.3 Two-Stage Miller Compensated Operational Amplifier

Refer to caption
Figure 6: Schematic of a two-stage Miller compensated op-amp.

A schematic of the two-stage Miller compensated op-amp is shown in Fig. 6. It is assumed that the transistors M11{}_{\text{1}}start_FLOATSUBSCRIPT 1 end_FLOATSUBSCRIPT and M22{}_{\text{2}}start_FLOATSUBSCRIPT 2 end_FLOATSUBSCRIPT, M33{}_{\text{3}}start_FLOATSUBSCRIPT 3 end_FLOATSUBSCRIPT and M44{}_{\text{4}}start_FLOATSUBSCRIPT 4 end_FLOATSUBSCRIPT, and M55{}_{\text{5}}start_FLOATSUBSCRIPT 5 end_FLOATSUBSCRIPT and M88{}_{\text{8}}start_FLOATSUBSCRIPT 8 end_FLOATSUBSCRIPT are pairwise matched. As is common for op-amp optimisation, the circuit area is chosen as the fitness function. The widths and the bias current are chosen as the decision variables for the optimisation problem. All the design specifications are considered as constraints. Since all the transistors have to be in the saturation region of operation for the proper working of the circuit, the saturation condition is also added as a constraint. ICMR of 0.6 Vtimes0.6volt0.6\text{\,}\mathrm{V}start_ARG 0.6 end_ARG start_ARG times end_ARG start_ARG roman_V end_ARG to 1 Vtimes1volt1\text{\,}\mathrm{V}start_ARG 1 end_ARG start_ARG times end_ARG start_ARG roman_V end_ARG is also considered during the optimisation.

The position vector, 𝒙𝒙{\bf\it x}bold_italic_x, and the fitness function, f(𝒙)𝑓𝒙f({\bf\it x})italic_f ( bold_italic_x ), are

𝒙=[W1,2,W3,4,W5,8,W6,W7,Ibias]𝒙subscript𝑊12subscript𝑊34subscript𝑊58subscript𝑊6subscript𝑊7subscript𝐼𝑏𝑖𝑎𝑠{\bf\it x}=[W_{1,2},W_{3,4},W_{5,8},W_{6},W_{7},I_{bias}]bold_italic_x = [ italic_W start_POSTSUBSCRIPT 1 , 2 end_POSTSUBSCRIPT , italic_W start_POSTSUBSCRIPT 3 , 4 end_POSTSUBSCRIPT , italic_W start_POSTSUBSCRIPT 5 , 8 end_POSTSUBSCRIPT , italic_W start_POSTSUBSCRIPT 6 end_POSTSUBSCRIPT , italic_W start_POSTSUBSCRIPT 7 end_POSTSUBSCRIPT , italic_I start_POSTSUBSCRIPT italic_b italic_i italic_a italic_s end_POSTSUBSCRIPT ] (5)

and

f(𝒙)=i=1MWi×Li,𝑓𝒙superscriptsubscript𝑖1𝑀subscript𝑊𝑖subscript𝐿𝑖f({\bf\it x})=\sum_{i=1}^{M}W_{i}\times L_{i},italic_f ( bold_italic_x ) = ∑ start_POSTSUBSCRIPT italic_i = 1 end_POSTSUBSCRIPT start_POSTSUPERSCRIPT italic_M end_POSTSUPERSCRIPT italic_W start_POSTSUBSCRIPT italic_i end_POSTSUBSCRIPT × italic_L start_POSTSUBSCRIPT italic_i end_POSTSUBSCRIPT , (6)

respectively, where M𝑀Mitalic_M is the total number of transistors in the circuit, and Wisubscript𝑊𝑖W_{i}italic_W start_POSTSUBSCRIPT italic_i end_POSTSUBSCRIPT and Lisubscript𝐿𝑖L_{i}italic_L start_POSTSUBSCRIPT italic_i end_POSTSUBSCRIPT are the width and length of the ithsuperscript𝑖thi^{\text{th}}italic_i start_POSTSUPERSCRIPT th end_POSTSUPERSCRIPT transistor. For the circuit in Fig. 6, M=8𝑀8M=8italic_M = 8.

The optimisation problem is framed as:

min𝒙𝒙\displaystyle\underset{{\bf\it x}}{\min}underbold_italic_x start_ARG roman_min end_ARG f(𝒙)𝑓𝒙\displaystyle f({\bf\it x})italic_f ( bold_italic_x )
subject to Voltage gain (Av)20 dBVoltage gain subscript𝐴𝑣times20decibel\displaystyle\text{Voltage gain }(A_{v})\geq$20\text{\,}\mathrm{dB}$Voltage gain ( italic_A start_POSTSUBSCRIPT italic_v end_POSTSUBSCRIPT ) ≥ start_ARG 20 end_ARG start_ARG times end_ARG start_ARG roman_dB end_ARG
Power dissipation (P)400 µWPower dissipation 𝑃times400microwatt\displaystyle\text{Power dissipation }(P)\leq$400\text{\,}\mathrm{% \SIUnitSymbolMicro W}$Power dissipation ( italic_P ) ≤ start_ARG 400 end_ARG start_ARG times end_ARG start_ARG roman_µ roman_W end_ARG
Slew Rate (SR)100 V µs1Slew Rate 𝑆𝑅times100timesvoltmicrosecond1\displaystyle\text{Slew Rate }(SR)\geq$100\text{\,}\mathrm{V}\text{\,}{\mathrm% {\SIUnitSymbolMicro s}}^{-1}$Slew Rate ( italic_S italic_R ) ≥ start_ARG 100 end_ARG start_ARG times end_ARG start_ARG start_ARG roman_V end_ARG start_ARG times end_ARG start_ARG power start_ARG roman_µ roman_s end_ARG start_ARG - 1 end_ARG end_ARG end_ARG
Cut-off frequency (f3dB)10 MHzCut-off frequency subscript𝑓3𝑑𝐵times10megahertz\displaystyle\text{Cut-off frequency }(f_{3dB})\geq$10\text{\,}\mathrm{MHz}$Cut-off frequency ( italic_f start_POSTSUBSCRIPT 3 italic_d italic_B end_POSTSUBSCRIPT ) ≥ start_ARG 10 end_ARG start_ARG times end_ARG start_ARG roman_MHz end_ARG
Unity gain bandwidth (UGB)100 MHzUnity gain bandwidth 𝑈𝐺𝐵times100megahertz\displaystyle\text{Unity gain bandwidth }(UGB)\geq$100\text{\,}\mathrm{MHz}$Unity gain bandwidth ( italic_U italic_G italic_B ) ≥ start_ARG 100 end_ARG start_ARG times end_ARG start_ARG roman_MHz end_ARG
Phase margin (PM)60 °Phase margin 𝑃𝑀times60degree\displaystyle\text{Phase margin }(PM)\geq$60\text{\,}\mathrm{% \SIUnitSymbolDegree}$Phase margin ( italic_P italic_M ) ≥ start_ARG 60 end_ARG start_ARG times end_ARG start_ARG ° end_ARG
2Aspect ratio (W/L)2002Aspect ratio 𝑊𝐿200\displaystyle 2\leq\text{Aspect ratio }(W/L)\leq 2002 ≤ Aspect ratio ( italic_W / italic_L ) ≤ 200
Power spectral density (Sn(f))Power spectral density subscript𝑆𝑛𝑓\displaystyle\text{Power spectral density }(S_{n}(f))Power spectral density ( italic_S start_POSTSUBSCRIPT italic_n end_POSTSUBSCRIPT ( italic_f ) )
60 nV/Hz at 1 MHzabsent60 nV/Hz at 1 MHz\displaystyle\leq$60\text{\,}\mathrm{n}\mathrm{V}\mathrm{/}\sqrt{Hz}$\text{ at% }$1\text{\,}\mathrm{MHz}$≤ start_ARG 60 end_ARG start_ARG times end_ARG start_ARG roman_nV / square-root start_ARG italic_H italic_z end_ARG end_ARG at start_ARG 1 end_ARG start_ARG times end_ARG start_ARG roman_MHz end_ARG
All transistors in saturation.All transistors in saturation\displaystyle\text{All transistors in saturation}.All transistors in saturation .

where 𝒙𝒙{{\bf\it x}}bold_italic_x and f(𝒙)𝑓𝒙{f({\bf\it x})}italic_f ( bold_italic_x ) are given by (5) and (6), respectively.

The two-stage op-amp [26] is designed in a 65 nmtimes65nanometer65\text{\,}\mathrm{nm}start_ARG 65 end_ARG start_ARG times end_ARG start_ARG roman_nm end_ARG technology. The length of the transistors is fixed to the minimum of 60 nmtimes60nanometer60\text{\,}\mathrm{nm}start_ARG 60 end_ARG start_ARG times end_ARG start_ARG roman_nm end_ARG, with the supply voltage as 1.1 Vtimes1.1volt1.1\text{\,}\mathrm{V}start_ARG 1.1 end_ARG start_ARG times end_ARG start_ARG roman_V end_ARG. The other specifications of voltage gain, power dissipation, slew rate, etc., are fixed accordingly. For example, a gain specification of 20 dBtimes20decibel20\text{\,}\mathrm{dB}start_ARG 20 end_ARG start_ARG times end_ARG start_ARG roman_dB end_ARG for a transistor length of 60 nmtimes60nanometer60\text{\,}\mathrm{nm}start_ARG 60 end_ARG start_ARG times end_ARG start_ARG roman_nm end_ARG is considered here. The value of load capacitor, CLsubscript𝐶𝐿C_{L}italic_C start_POSTSUBSCRIPT italic_L end_POSTSUBSCRIPT, is taken as 200 fFtimes200femtofarad200\text{\,}\mathrm{fF}start_ARG 200 end_ARG start_ARG times end_ARG start_ARG roman_fF end_ARG. The value of Ccsubscript𝐶𝑐C_{c}italic_C start_POSTSUBSCRIPT italic_c end_POSTSUBSCRIPT is taken as 0.3 times CLsubscript𝐶𝐿C_{L}italic_C start_POSTSUBSCRIPT italic_L end_POSTSUBSCRIPT [1]. The lower bound and upper bound for the decision variable, Ibiassubscript𝐼𝑏𝑖𝑎𝑠I_{bias}italic_I start_POSTSUBSCRIPT italic_b italic_i italic_a italic_s end_POSTSUBSCRIPT, is considered as 1 µAtimes1microampere1\text{\,}\mathrm{\SIUnitSymbolMicro A}start_ARG 1 end_ARG start_ARG times end_ARG start_ARG roman_µ roman_A end_ARG and 100 µAtimes100microampere100\text{\,}\mathrm{\SIUnitSymbolMicro A}start_ARG 100 end_ARG start_ARG times end_ARG start_ARG roman_µ roman_A end_ARG, respectively.

16161616 MLP classifiers are trained for predicting the saturation conditions of all 8 transistors at both extremes of the ICMR in TSMCOA. MLP regression models are trained for predicting the constraints of Avsubscript𝐴𝑣A_{v}italic_A start_POSTSUBSCRIPT italic_v end_POSTSUBSCRIPT, UGB𝑈𝐺𝐵UGBitalic_U italic_G italic_B, and f3dBsubscript𝑓3𝑑𝐵f_{3dB}italic_f start_POSTSUBSCRIPT 3 italic_d italic_B end_POSTSUBSCRIPT. RF regression models are used for PM𝑃𝑀PMitalic_P italic_M and Sn(f)subscript𝑆𝑛𝑓S_{n}(f)italic_S start_POSTSUBSCRIPT italic_n end_POSTSUBSCRIPT ( italic_f ) prediction. A total of 25,0002500025{,}00025 , 000 data points are generated using ngspice for the initial database, where 80% data points are used for training, and 20% data points are used for testing the ML models. The details of the ML classification and regression models are given in Table 11 and Table 12, respectively.

Table 11: Performance of MLP classifiers (MLP(128, 64, 16)) for saturation prediction for transistors in TSMCOA optimisation.
Transistor Training Time (s) Testing Accuracy
M1@ICMRminsubscript𝑀1@𝐼𝐶𝑀subscript𝑅M_{1}@ICMR_{\min}italic_M start_POSTSUBSCRIPT 1 end_POSTSUBSCRIPT @ italic_I italic_C italic_M italic_R start_POSTSUBSCRIPT roman_min end_POSTSUBSCRIPT 168.7 0.994
M1@ICMRmaxsubscript𝑀1@𝐼𝐶𝑀subscript𝑅M_{1}@ICMR_{\max}italic_M start_POSTSUBSCRIPT 1 end_POSTSUBSCRIPT @ italic_I italic_C italic_M italic_R start_POSTSUBSCRIPT roman_max end_POSTSUBSCRIPT 182.0 0.994
M2@ICMRminsubscript𝑀2@𝐼𝐶𝑀subscript𝑅M_{2}@ICMR_{\min}italic_M start_POSTSUBSCRIPT 2 end_POSTSUBSCRIPT @ italic_I italic_C italic_M italic_R start_POSTSUBSCRIPT roman_min end_POSTSUBSCRIPT 179.1 0.994
M2@ICMRmaxsubscript𝑀2@𝐼𝐶𝑀subscript𝑅M_{2}@ICMR_{\max}italic_M start_POSTSUBSCRIPT 2 end_POSTSUBSCRIPT @ italic_I italic_C italic_M italic_R start_POSTSUBSCRIPT roman_max end_POSTSUBSCRIPT 156.9 0.983
M3@ICMRminsubscript𝑀3@𝐼𝐶𝑀subscript𝑅M_{3}@ICMR_{\min}italic_M start_POSTSUBSCRIPT 3 end_POSTSUBSCRIPT @ italic_I italic_C italic_M italic_R start_POSTSUBSCRIPT roman_min end_POSTSUBSCRIPT 126.1 0.995
M3@ICMRmaxsubscript𝑀3@𝐼𝐶𝑀subscript𝑅M_{3}@ICMR_{\max}italic_M start_POSTSUBSCRIPT 3 end_POSTSUBSCRIPT @ italic_I italic_C italic_M italic_R start_POSTSUBSCRIPT roman_max end_POSTSUBSCRIPT 168.7 0.994
M4@ICMRminsubscript𝑀4@𝐼𝐶𝑀subscript𝑅M_{4}@ICMR_{\min}italic_M start_POSTSUBSCRIPT 4 end_POSTSUBSCRIPT @ italic_I italic_C italic_M italic_R start_POSTSUBSCRIPT roman_min end_POSTSUBSCRIPT 129.4 0.994
M4@ICMRmaxsubscript𝑀4@𝐼𝐶𝑀subscript𝑅M_{4}@ICMR_{\max}italic_M start_POSTSUBSCRIPT 4 end_POSTSUBSCRIPT @ italic_I italic_C italic_M italic_R start_POSTSUBSCRIPT roman_max end_POSTSUBSCRIPT 117.7 0.999
M5@ICMRminsubscript𝑀5@𝐼𝐶𝑀subscript𝑅M_{5}@ICMR_{\min}italic_M start_POSTSUBSCRIPT 5 end_POSTSUBSCRIPT @ italic_I italic_C italic_M italic_R start_POSTSUBSCRIPT roman_min end_POSTSUBSCRIPT 173.5 0.996
M5@ICMRmaxsubscript𝑀5@𝐼𝐶𝑀subscript𝑅M_{5}@ICMR_{\max}italic_M start_POSTSUBSCRIPT 5 end_POSTSUBSCRIPT @ italic_I italic_C italic_M italic_R start_POSTSUBSCRIPT roman_max end_POSTSUBSCRIPT 174.7 0.994
M6@ICMRminsubscript𝑀6@𝐼𝐶𝑀subscript𝑅M_{6}@ICMR_{\min}italic_M start_POSTSUBSCRIPT 6 end_POSTSUBSCRIPT @ italic_I italic_C italic_M italic_R start_POSTSUBSCRIPT roman_min end_POSTSUBSCRIPT 189.8 0.991
M6@ICMRmaxsubscript𝑀6@𝐼𝐶𝑀subscript𝑅M_{6}@ICMR_{\max}italic_M start_POSTSUBSCRIPT 6 end_POSTSUBSCRIPT @ italic_I italic_C italic_M italic_R start_POSTSUBSCRIPT roman_max end_POSTSUBSCRIPT 169.9 0.994
M7@ICMRminsubscript𝑀7@𝐼𝐶𝑀subscript𝑅M_{7}@ICMR_{\min}italic_M start_POSTSUBSCRIPT 7 end_POSTSUBSCRIPT @ italic_I italic_C italic_M italic_R start_POSTSUBSCRIPT roman_min end_POSTSUBSCRIPT 145.7 0.984
M7@ICMRmaxsubscript𝑀7@𝐼𝐶𝑀subscript𝑅M_{7}@ICMR_{\max}italic_M start_POSTSUBSCRIPT 7 end_POSTSUBSCRIPT @ italic_I italic_C italic_M italic_R start_POSTSUBSCRIPT roman_max end_POSTSUBSCRIPT 184.2 0.985
M8@ICMRminsubscript𝑀8@𝐼𝐶𝑀subscript𝑅M_{8}@ICMR_{\min}italic_M start_POSTSUBSCRIPT 8 end_POSTSUBSCRIPT @ italic_I italic_C italic_M italic_R start_POSTSUBSCRIPT roman_min end_POSTSUBSCRIPT 90.85 0.981
M8@ICMRmaxsubscript𝑀8@𝐼𝐶𝑀subscript𝑅M_{8}@ICMR_{\max}italic_M start_POSTSUBSCRIPT 8 end_POSTSUBSCRIPT @ italic_I italic_C italic_M italic_R start_POSTSUBSCRIPT roman_max end_POSTSUBSCRIPT 93.7 0.983
Table 12: Performance of ML regression models for constraint prediction in TSMCOA optimisation.
Constraint Parameter ML Model Training Time (s) R2superscriptR2\emph{R}^{\emph{2}}R start_POSTSUPERSCRIPT 2 end_POSTSUPERSCRIPT MAE
Av@ICMRminsubscript𝐴𝑣@𝐼𝐶𝑀subscript𝑅A_{v}@ICMR_{\min}italic_A start_POSTSUBSCRIPT italic_v end_POSTSUBSCRIPT @ italic_I italic_C italic_M italic_R start_POSTSUBSCRIPT roman_min end_POSTSUBSCRIPT (dBdecibel\mathrm{dB}roman_dB) MLP(50,50,50,50,50) 18.1 0.814 1.842
Av@ICMRmaxsubscript𝐴𝑣@𝐼𝐶𝑀subscript𝑅A_{v}@ICMR_{\max}italic_A start_POSTSUBSCRIPT italic_v end_POSTSUBSCRIPT @ italic_I italic_C italic_M italic_R start_POSTSUBSCRIPT roman_max end_POSTSUBSCRIPT (dBdecibel\mathrm{dB}roman_dB) MLP(50,50,50,50) 49.3 0.827 1.230
UGB@ICMRmin𝑈𝐺𝐵@𝐼𝐶𝑀subscript𝑅UGB@ICMR_{\min}italic_U italic_G italic_B @ italic_I italic_C italic_M italic_R start_POSTSUBSCRIPT roman_min end_POSTSUBSCRIPT (MHzmegahertz\mathrm{MHz}roman_MHz) MLP(256,256) 32.6 0.980 0.816
UGB@ICMRmax𝑈𝐺𝐵@𝐼𝐶𝑀subscript𝑅UGB@ICMR_{\max}italic_U italic_G italic_B @ italic_I italic_C italic_M italic_R start_POSTSUBSCRIPT roman_max end_POSTSUBSCRIPT (MHzmegahertz\mathrm{MHz}roman_MHz) MLP(100,50,25,5) 9.1 0.980 0.816
Sn(f)@ICMRminsubscript𝑆𝑛𝑓@𝐼𝐶𝑀subscript𝑅S_{n}(f)@ICMR_{\min}italic_S start_POSTSUBSCRIPT italic_n end_POSTSUBSCRIPT ( italic_f ) @ italic_I italic_C italic_M italic_R start_POSTSUBSCRIPT roman_min end_POSTSUBSCRIPT (nV/HznV𝐻𝑧\mathrm{n}\mathrm{V}\mathrm{/}\sqrt{Hz}roman_nV / square-root start_ARG italic_H italic_z end_ARG) RF(n=2000) 9.1 0.980 0.816
Sn(f)@ICMRmaxsubscript𝑆𝑛𝑓@𝐼𝐶𝑀subscript𝑅S_{n}(f)@ICMR_{\max}italic_S start_POSTSUBSCRIPT italic_n end_POSTSUBSCRIPT ( italic_f ) @ italic_I italic_C italic_M italic_R start_POSTSUBSCRIPT roman_max end_POSTSUBSCRIPT (nV/HznV𝐻𝑧\mathrm{n}\mathrm{V}\mathrm{/}\sqrt{Hz}roman_nV / square-root start_ARG italic_H italic_z end_ARG) RF(n=1000) 9.1 0.980 0.816
PM𝑃𝑀PMitalic_P italic_M ()(^{\circ})( start_POSTSUPERSCRIPT ∘ end_POSTSUPERSCRIPT ) RF(n=100) 2.0 0.995 0.015
f3dBsubscript𝑓3𝑑𝐵f_{3dB}italic_f start_POSTSUBSCRIPT 3 italic_d italic_B end_POSTSUBSCRIPT (MHzmegahertz\mathrm{MHz}roman_MHz) MLP(50,50,50,50,50) 4.8 0.989 5.25E5
Table 13: Optimum parameters obtained by SGA, MGA, MGA-MLSP, and MGA-MLSCP for TSMCOA optimisation.
Design Parameter SGA MGA MGA-MLSP MGA-MLSCP
Ibiassubscript𝐼𝑏𝑖𝑎𝑠I_{bias}italic_I start_POSTSUBSCRIPT italic_b italic_i italic_a italic_s end_POSTSUBSCRIPT (µAmicroampere\mathrm{\SIUnitSymbolMicro A}roman_µ roman_A) 32.3 28.9 27.6 28.0
W1,2subscript𝑊12W_{1,2}italic_W start_POSTSUBSCRIPT 1 , 2 end_POSTSUBSCRIPT (nmnanometer\mathrm{nm}roman_nm) 287 259 249 252
W3,4subscript𝑊34W_{3,4}italic_W start_POSTSUBSCRIPT 3 , 4 end_POSTSUBSCRIPT (nmnanometer\mathrm{nm}roman_nm) 724 799 821 815
W5,8subscript𝑊58W_{5,8}italic_W start_POSTSUBSCRIPT 5 , 8 end_POSTSUBSCRIPT (nmnanometer\mathrm{nm}roman_nm) 139 124 130 119
W6subscript𝑊6W_{6}italic_W start_POSTSUBSCRIPT 6 end_POSTSUBSCRIPT (nmnanometer\mathrm{nm}roman_nm) 1531 1119 1082 1122
W7subscript𝑊7W_{7}italic_W start_POSTSUBSCRIPT 7 end_POSTSUBSCRIPT (nmnanometer\mathrm{nm}roman_nm) 195 187 193 181
Table 14: Design Specifications obtained for the area optimisation of TSMCOA by SGA, MGA, MGA-MLSP, and MGA-MLSCP.
Design Criteria Specifications SGA MGA MGA-MLSP MGA-MLSCP
Avsubscript𝐴𝑣A_{v}italic_A start_POSTSUBSCRIPT italic_v end_POSTSUBSCRIPT (dBdecibel\mathrm{dB}roman_dB) 20absent20\geq 20≥ 20 24.6 21.9 21.5 21.87
f3dBsubscript𝑓3𝑑𝐵f_{3dB}italic_f start_POSTSUBSCRIPT 3 italic_d italic_B end_POSTSUBSCRIPT (MHzmegahertz\mathrm{MHz}roman_MHz) 10absent10\geq 10≥ 10 11.72 13.29 13.18 12.99
UGB𝑈𝐺𝐵UGBitalic_U italic_G italic_B (MHzmegahertz\mathrm{MHz}roman_MHz) 100absent100\geq 100≥ 100 187.7 156.3 149.1 152.5
PM𝑃𝑀PMitalic_P italic_M ()(^{\circ})( start_POSTSUPERSCRIPT ∘ end_POSTSUPERSCRIPT ) 60absent60\geq 60≥ 60 60.0 60.0 60.1 60.1
SR𝑆𝑅SRitalic_S italic_R (V µs1timesvoltmicrosecond1\mathrm{V}\text{\,}{\mathrm{\SIUnitSymbolMicro s}}^{-1}start_ARG roman_V end_ARG start_ARG times end_ARG start_ARG power start_ARG roman_µ roman_s end_ARG start_ARG - 1 end_ARG end_ARG) 100absent100\geq 100≥ 100 265 269 268 264
Sn(f)subscript𝑆𝑛𝑓S_{n}(f)italic_S start_POSTSUBSCRIPT italic_n end_POSTSUBSCRIPT ( italic_f ) @ 1MHz (nV/HznV𝐻𝑧\mathrm{n}\mathrm{V}\mathrm{/}\sqrt{Hz}roman_nV / square-root start_ARG italic_H italic_z end_ARG) 60absent60\leq 60≤ 60 52.94 53.29 53.41 53.36
Saturation - Met Met Met Met
A (µm2µsuperscriptm2\mathrm{\SIUnitSymbolMicro}\mathrm{m}^{2}roman_µ roman_m start_POSTSUPERSCRIPT 2 end_POSTSUPERSCRIPT) 1absent1\leq 1≤ 1 0.2416 0.2206 0.2205 0.2205
Table 15: Performance of algorithms SGA, MGA, MGA-MLSP, and MGA-MLSCP, for BGR, FCOA, and TSMCOA optimisation.
Bandgap Reference (BGR)
Optimal TC (ppm/°Cppm°C\mathrm{p}\mathrm{p}\mathrm{m}\mathrm{/}\mathrm{\SIUnitSymbolCelsius}roman_ppm / °C) Spice Simulations (#)
Algorithm Best Worst Mean S.D. Mean Median
SGA 2.253 9.030 4.570 2.421 18595 13614
MGA 1.268 3.378 2.102 0.554 11318 11084
MGA-MLSP 1.179 3.052 1.941 0.456 9124 8942
MGA-MLSCP 1.109 2.257 1.593 0.294 8178 8154
Folded cascode op-amp (FCOA)
Optimal Area (µm2µsuperscriptm2\mathrm{\SIUnitSymbolMicro}\mathrm{m}^{2}roman_µ roman_m start_POSTSUPERSCRIPT 2 end_POSTSUPERSCRIPT) Spice Simulations (#)
Algorithm Best Worst Mean S.D. Mean Median
SGA 8.305 10.520 8.899 0.660 21518 21084
MGA 8.031 8.276 8.086 0.069 20936 20926
MGA-MLSP 8.024 8.128 8.065 0.041 12176 12133
MGA-MLSCP 8.020 8.088 8.043 0.022 8639 8755
Two-stage Miller compensated op-amp (TSMCOA)
Optimal Area (µm2µsuperscriptm2\mathrm{\SIUnitSymbolMicro}\mathrm{m}^{2}roman_µ roman_m start_POSTSUPERSCRIPT 2 end_POSTSUPERSCRIPT) Spice Simulations (#)
Algorithm Best Worst Mean S.D. Mean Median
SGA 0.2416 0.3128 0.2564 0.0220 43521 42836
MGA 0.2206 0.2348 0.2258 0.0052 24908 24405
MGA-MLSP 0.2205 0.2264 0.2227 0.0021 10265 10255
MGA-MLSCP 0.2205 0.2234 0.2218 0.0010 6994 7203

The design parameters of the best solution and the corresponding specifications obtained by SGA, MGA, MGA-MLSP, and MGA-MLSCP are given in Table 13 and Table 14, respectively. Table 15 compares 20202020 consecutive runs for all four cases. The best, worst, mean, and S.D. of the fitness function value and the average and the median of spice simulations invoked per run for all the cases are presented. The MGA was able to attain a better result for the area with considerably less S.D. and with 43% reduction in spice calls than the SGA. MGA-MLSP and MGA-MLSCP attained a better value of 2.205 µm2times2.205µsuperscriptm22.205\text{\,}\mathrm{\SIUnitSymbolMicro}\mathrm{m}^{2}start_ARG 2.205 end_ARG start_ARG times end_ARG start_ARG roman_µ roman_m start_POSTSUPERSCRIPT 2 end_POSTSUPERSCRIPT end_ARG for the area with 76% and 84% reduction in the number of spice calls, respectively.

Fig. 7 shows the convergence characteristics of SGA, MGA, MGA-MLSP, and MGA-MLSCP with respect to spice simulations for TSMCOA optimisation. Since ICMR is also considered during the optimisation of TSMCOA, the specifications are more stringent. The optimised design needs to satisfy all the constraints in this range. This is reflected by the considerably higher number of spice simulations invoked by the SGA and MGA during optimisation when compared with the other two test cases. MGA-MLSP and MGA-MLSCP converged to the optimal solution with a significant reduction in spice calls, which is evident from Fig. 7. This shows that the proposed ML-driven algorithms perform better when the circuit specifications are more stringent.

Refer to caption
Figure 7: Comparison of convergence characteristics of SGA, MGA, MGA-MLSP, and MGA-MLSCP with respect to the number of spice simulations for TSMCOA optimisation.

We have considered the single- and multi-objective optimisation for TSMCOA reported in [53] for comparison with the state-of-the-art. In [53], a neural network-based high-efficiency optimisation method is utilised for single- and multi-objective optimisations of a TSMCOA. All the constraints and specifications used in [53] are considered for a fair comparison and the objective function for single-objective optimisation is chosen as the area. Area and power are selected as the objective functions to be optimised in the case of multi-objective optimisation, and the fitness function is expressed as:

f(𝒙)=αi=1MWi×Li+βP,𝑓𝒙𝛼superscriptsubscript𝑖1𝑀subscript𝑊𝑖subscript𝐿𝑖𝛽𝑃f({\bf\it x})=\alpha\sum_{i=1}^{M}W_{i}\times L_{i}+\beta P,italic_f ( bold_italic_x ) = italic_α ∑ start_POSTSUBSCRIPT italic_i = 1 end_POSTSUBSCRIPT start_POSTSUPERSCRIPT italic_M end_POSTSUPERSCRIPT italic_W start_POSTSUBSCRIPT italic_i end_POSTSUBSCRIPT × italic_L start_POSTSUBSCRIPT italic_i end_POSTSUBSCRIPT + italic_β italic_P , (7)

where α𝛼\alphaitalic_α and β𝛽\betaitalic_β are the weights of area and power, respectively. The results of the single-objective and multi-objective optimisation of TSMCOA in comparison with [53] are presented in Table. 16 and Table. 17, respectively. In the area optimisation, the proposed method is able to achieve a 38% reduction in area compared to [53]. In multi-objective optimisation, the results show that MGA-MLSCP is able to attain a better value for area with reduced power than [53]. There is a 21% and 16% reduction in area and power, respectively.

Table 16: Comparison of area optimisation of TSMCOA using MGA-MLSCP with PSO [53].
Design Criteria Specifications PSO [53] This Work
Avsubscript𝐴𝑣A_{v}italic_A start_POSTSUBSCRIPT italic_v end_POSTSUBSCRIPT (dBdecibel\mathrm{dB}roman_dB) 20absent20\geq 20≥ 20 20.18 20.49
UGB𝑈𝐺𝐵UGBitalic_U italic_G italic_B (MHzmegahertz\mathrm{MHz}roman_MHz) 100absent100\geq 100≥ 100 106.4 108.49
PM𝑃𝑀PMitalic_P italic_M ()(^{\circ})( start_POSTSUPERSCRIPT ∘ end_POSTSUPERSCRIPT ) 60absent60\geq 60≥ 60 60.09 61.58
SR𝑆𝑅SRitalic_S italic_R (V µs1timesvoltmicrosecond1\mathrm{V}\text{\,}{\mathrm{\SIUnitSymbolMicro s}}^{-1}start_ARG roman_V end_ARG start_ARG times end_ARG start_ARG power start_ARG roman_µ roman_s end_ARG start_ARG - 1 end_ARG end_ARG) 100absent100\geq 100≥ 100 176 160
CMRR𝐶𝑀𝑅𝑅CMRRitalic_C italic_M italic_R italic_R (dBdecibel\mathrm{dB}roman_dB) - 30.3 25.42
PSRR𝑃𝑆𝑅𝑅PSRRitalic_P italic_S italic_R italic_R (dBdecibel\mathrm{dB}roman_dB) - 15.64 27.32
VDDsubscript𝑉𝐷𝐷V_{DD}italic_V start_POSTSUBSCRIPT italic_D italic_D end_POSTSUBSCRIPT (Vvolt\mathrm{V}roman_V) - 1.1 1.1
VSSsubscript𝑉𝑆𝑆V_{SS}italic_V start_POSTSUBSCRIPT italic_S italic_S end_POSTSUBSCRIPT (Vvolt\mathrm{V}roman_V) - 0 0
P𝑃Pitalic_P (µWmicrowatt\mathrm{\SIUnitSymbolMicro W}roman_µ roman_W) 150absent150\leq 150≤ 150 83.2 90.96
A (µm2µsuperscriptm2\mathrm{\SIUnitSymbolMicro}\mathrm{m}^{2}roman_µ roman_m start_POSTSUPERSCRIPT 2 end_POSTSUPERSCRIPT) 1absent1\leq 1≤ 1 0.121 0.075
Table 17: Comparison of area and power optimisation of TSMCOA using MGA-MLSCP with PSO [53].
Design Criteria Specifications PSO [53] This Work
Avsubscript𝐴𝑣A_{v}italic_A start_POSTSUBSCRIPT italic_v end_POSTSUBSCRIPT (dBdecibel\mathrm{dB}roman_dB) 20absent20\geq 20≥ 20 21.36 20.29
UGB𝑈𝐺𝐵UGBitalic_U italic_G italic_B (MHzmegahertz\mathrm{MHz}roman_MHz) 100absent100\geq 100≥ 100 104.7 108.98
PM𝑃𝑀PMitalic_P italic_M ()(^{\circ})( start_POSTSUPERSCRIPT ∘ end_POSTSUPERSCRIPT ) 60absent60\geq 60≥ 60 60.68 60.27
SR𝑆𝑅SRitalic_S italic_R (V µs1timesvoltmicrosecond1\mathrm{V}\text{\,}{\mathrm{\SIUnitSymbolMicro s}}^{-1}start_ARG roman_V end_ARG start_ARG times end_ARG start_ARG power start_ARG roman_µ roman_s end_ARG start_ARG - 1 end_ARG end_ARG) 100absent100\geq 100≥ 100 164 153
Sn(f)subscript𝑆𝑛𝑓S_{n}(f)italic_S start_POSTSUBSCRIPT italic_n end_POSTSUBSCRIPT ( italic_f ) @ 1MHz (nV/HznV𝐻𝑧\mathrm{n}\mathrm{V}\mathrm{/}\sqrt{Hz}roman_nV / square-root start_ARG italic_H italic_z end_ARG) 80absent80\leq 80≤ 80 79.22 77.09
Sn(f)subscript𝑆𝑛𝑓S_{n}(f)italic_S start_POSTSUBSCRIPT italic_n end_POSTSUBSCRIPT ( italic_f ) @ 10MHz (nV/HznV𝐻𝑧\mathrm{n}\mathrm{V}\mathrm{/}\sqrt{Hz}roman_nV / square-root start_ARG italic_H italic_z end_ARG) - 35.03 26.27
CMRR𝐶𝑀𝑅𝑅CMRRitalic_C italic_M italic_R italic_R (dBdecibel\mathrm{dB}roman_dB) - 32.08 32.22
PSRR𝑃𝑆𝑅𝑅PSRRitalic_P italic_S italic_R italic_R (dBdecibel\mathrm{dB}roman_dB) - 18.43 30.50
Settling time with 2% tol.(nsnanosecond\mathrm{ns}roman_ns) - 9.48 8.57
Settling time with 5% tol.(nsnanosecond\mathrm{ns}roman_ns) - 7.899 5.75
VDDsubscript𝑉𝐷𝐷V_{DD}italic_V start_POSTSUBSCRIPT italic_D italic_D end_POSTSUBSCRIPT (Vvolt\mathrm{V}roman_V) - 1.1 1.1
VSSsubscript𝑉𝑆𝑆V_{SS}italic_V start_POSTSUBSCRIPT italic_S italic_S end_POSTSUBSCRIPT (Vvolt\mathrm{V}roman_V) - 0 0
P (µWmicrowatt\mathrm{\SIUnitSymbolMicro W}roman_µ roman_W) 150absent150\leq 150≤ 150 72.09 60.45
A (µm2µsuperscriptm2\mathrm{\SIUnitSymbolMicro}\mathrm{m}^{2}roman_µ roman_m start_POSTSUPERSCRIPT 2 end_POSTSUPERSCRIPT) 1absent1\leq 1≤ 1 0.1371 0.1078

4 Conclusion

We present a machine learning (ML)–driven optimisation framework using genetic algorithm to design optimal analog circuits. Combining spice simulations with ML predictions guides genetic algorithm to optimum solutions. Since it is vital to ensure the right operating region of the transistors for the proper working of the analog circuits, we have used ML classification models to predict the operating region of the transistors in the analog circuit. ML regression models are used to predict the other circuit constraint specifications like power, gain, phase margin, etc. The simulation results show that the proposed framework can attain better solutions with higher optimisation efficiency while invoking fewer spice simulations. We validated the proposed methodology to optimise a bandgap reference, a folded cascade operational amplifier, and a two-stage Miller-compensated operational amplifier. The results show that the proposed approach attains better solutions for the fitness functions with a reduction of 53%, 56%, and 83% spice calls in the three test cases considered when compared to the standard genetic algorithm and its modifications. Comparison with the state-of-the-art highlights the superiority of the proposed framework in attaining a better optimal value. We plan to explore employing this framework in more intricate analog circuits, such as phase-locked loops and comparators in the future.

Acknowledgements

We thank Dr. Rudra Narayan Roy, School of Mechanical Sciences, IIT Goa for providing access to a high-speed computational facility.

References

  • [1] B. Razavi, Design of Analog CMOS Integrated Circuits, 2nd Edition, McGraw-Hill Education, New York, USA, 2017.
  • [2] A. A. Youssef, B. Murmann, H. Omran, Analog ic design using precomputed lookup tables: Challenges and solutions, IEEE Access 8 (2020) 134640–134652. doi:10.1109/ACCESS.2020.3010875.
  • [3] P. Walker, J. P. Ochoa-Ricoux, A. Abusleme, Slice-based analog design, IEEE Access 9 (2021) 148164–148183.
  • [4] S. Yin, R. Wang, J. Zhang, Y. Wang, Asynchronous parallel expected improvement matrix-based constrained multi-objective optimization for analog circuit sizing, IEEE Transactions on Circuits and Systems II: Express Briefs 69 (9) (2022) 3869–3873.
  • [5] J. Tao, Y. Su, D. Zhou, X. Zeng, X. Li, Graph-constrained sparse performance modeling for analog circuit optimization via sdp relaxation, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 38 (8) (2019) 1385–1398. doi:10.1109/TCAD.2018.2848590.
  • [6] S. P. Boyd, S. J. Kim, Geometric programming for circuit optimization, in: Proc. of the 2005 Int. Symp. on Physical Design, ISPD ’05, Association for Computing Machinery, NY, USA, 2005, p. 44–46. doi:10.1145/1055137.1055148.
  • [7] J. Koza, F. Bennett, D. Andre, M. Keane, F. Dunlap, Automated synthesis of analog electrical circuits by means of genetic programming, IEEE Trans. Evol. Comput. 1 (2) (1997) 109–128. doi:10.1109/4235.687879.
  • [8] E. Hjalmarson, R. Hagglund, L. Wanhammar, An equation-based optimization approach for analog circuit design, in: Signals, Circuits and Systems, 2003. SCS 2003. Int. Symp. on, Vol. 1, 2003, pp. 77–80. doi:10.1109/SCS.2003.1226952.
  • [9] G. Alpaydin, S. Balkir, G. Dundar, An evolutionary approach to automatic synthesis of high-performance analog integrated circuits, IEEE Trans. Evol. Comput. 7 (3) (2003) 240–252. doi:10.1109/TEVC.2003.808914.
  • [10] A. Patanè, A. Santoro, P. Conca, G. Carapezza, A. L. Magna, V. Romano, G. Nicosia, Multi-objective optimization and analysis for the design space exploration of analog circuits and solar cells, Engineering Applications of Artificial Intelligence 62 (2017) 373–383. doi:https://doi.org/10.1016/j.engappai.2016.08.010.
  • [11] W. Lyu, P. Xue, F. Yang, C. Yan, Z. Hong, X. Zeng, D. Zhou, An efficient bayesian optimization approach for automated optimization of analog circuits, IEEE Transactions on Circuits and Systems I: Regular Papers 65 (6) (2018) 1954–1967. doi:10.1109/TCSI.2017.2768826.
  • [12] Y. Yang, H. Zhu, Z. Bi, C. Yan, D. Zhou, Y. Su, X. Zeng, Smart-MSP: A Self-Adaptive Multiple Starting Point Optimization Approach for Analog Circuit Synthesis, IEEE Trans. Comput.-Aided Design Integr. Circuits Syst. 37 (3) (2018) 531–544.
  • [13] G. I. Tombak, Şeyda Nur Güzelhan, E. Afacan, G. Dündar, Simulated annealing assisted NSGA-III-based multi-objective analog IC sizing tool, Integration 85 (2022) 48–56.
  • [14] R. Martins, N. Lourenço, R. Póvoa, N. Horta, Shortening the gap between pre- and post-layout analog ic performance by reducing the lde-induced variations with multi-objective simulated quantum annealing, Engineering Applications of Artificial Intelligence 98 (2021) 104102. doi:https://doi.org/10.1016/j.engappai.2020.104102.
  • [15] S. Zhang, F. Yang, C. Yan, D. Zhou, X. Zeng, An efficient batch-constrained bayesian optimization approach for analog circuit synthesis via multiobjective acquisition ensemble, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 41 (1) (2022) 1–14.
  • [16] M. Fayazi, Z. Colter, E. Afshari, R. Dreslinski, Applications of Artificial Intelligence on the Modeling and Optimization for Analog and Mixed-Signal Circuits: A Review, IEEE Trans. Circuits Syst. I, Reg. Papers 68 (6) (2021) 2418–2431.
  • [17] M. Dehbashian, M. Maymandi-Nejad, An enhanced optimization kernel for analog ic design automation using the shrinking circles technique, Engineering Applications of Artificial Intelligence 58 (2017) 62–78.
  • [18] B. Liu, D. Zhao, P. Reynaert, G. G. E. Gielen, Synthesis of Integrated Passive Components for High-Frequency RF ICs Based on Evolutionary Computation and Machine Learning Techniques, IEEE Trans. Comput.-Aided Design Integr. Circuits Syst. 30 (10) (2011) 1458–1468.
  • [19] B. Liu, Y. Wang, Z. Yu, L. Liu, M. Li, Z. Wang, J. Lu, F. Fernandez, Analog circuit optimization system based on hybrid evolutionary algorithms, Integration, the VLSI Journal 42 (2009) 137–148.
  • [20] G. Nicosia, S. Rinaudo, E. Sciacca, An evolutionary algorithm-based approach to robust analog circuit design using constrained multi-objective optimization, Knowledge-Based Systems 21 (3) (2008) 175–183, aI 2007.
  • [21] S. Ghosh, B. P. De, R. Kar, D. Mandal, A. K. Mal, Optimal design of complementary metal-oxide-semiconductor analogue circuits: An evolutionary approach, Computers & Electrical Engineering 80 (2019) 106485.
  • [22] Žiga Rojec, Árpád Bűrmen, I. Fajfar, Analog circuit topology synthesis by means of evolutionary computation, Engineering Applications of Artificial Intelligence 80 (2019) 48–65. doi:https://doi.org/10.1016/j.engappai.2019.01.012.
  • [23] C. Vişan, O. Pascu, M. Stănescu, E.-D. Şandru, C. Diaconu, A. Buzo, G. Pelz, H. Cucu, Automated circuit sizing with multi-objective optimization based on differential evolution and bayesian inference, Knowledge-Based Systems 258 (2022) 109987.
  • [24] R. A. de Lima Moreto, C. E. Thomaz, S. P. Gimenez, A customized genetic algorithm with in-loop robustness analyses to boost the optimization process of analog CMOS ICs, Microelectronics Journal 92 (2019) 104595.
  • [25] R. Rashid, G. Raghunath, V. Badugu, N. Nambath, Performance evaluation of evolutionary algorithms for analog integrated circuit design optimisation, Microelectronics Journal 141 (2023) 105983. doi:https://doi.org/10.1016/j.mejo.2023.105983.
    URL https://www.sciencedirect.com/science/article/pii/S0026269223002963
  • [26] R. Rashid, N. Nambath, Area optimisation of two stage miller compensated op-amp in 65 nm using hybrid pso, IEEE Transactions on Circuits and Systems II: Express Briefs 69 (1) (2022) 199–203. doi:10.1109/TCSII.2021.3089937.
  • [27] R. Phelps, M. Krasnicki, R. A. Rutenbar, L. R. Carley, J. R. Hellums, Anaconda: Simulation-based synthesis of analog circuits via stochastic pattern search, Trans. Comp.-Aided Des. Integ. Cir. Sys. 19 (6) (2006) 703–717. doi:10.1109/43.848091.
    URL https://doi.org/10.1109/43.848091
  • [28] B. Liu, F. V. Fernández, G. Gielen, R. Castro-López, E. Roca, A memetic approach to the automatic design of high-performance analog integrated circuits, ACM Trans. Des. Autom. Electron. Syst. 14 (3) (jun 2009). doi:10.1145/1529255.1529264.
    URL https://doi.org/10.1145/1529255.1529264
  • [29] B. Liu, N. Deferm, D. Zhao, P. Reynaert, G. Gielen, An efficient high-frequency linear rf amplifier synthesis method based on evolutionary computation and machine learning techniques, IEEE Transactions on Computer-aided Design of Integrated Circuits and Systems 31 (01 2011). doi:10.1109/TCAD.2012.2187207.
  • [30] G. Wolfe, R. Vemuri, Extraction and use of neural network models in automated synthesis of operational amplifiers, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 22 (2) (2003) 198–212. doi:10.1109/TCAD.2002.806600.
  • [31] O. Garitselov, S. P. Mohanty, E. Kougianos, Fast-accurate non-polynomial metamodeling for nano-cmos PLL design optimization, in: V. D. Agrawal, S. T. Chakradhar (Eds.), 25th International Conference on VLSI Design, Hyderabad, India, January 7-11, 2012, IEEE Computer Society, 2012, pp. 316–321. doi:10.1109/VLSID.2012.90.
    URL https://doi.org/10.1109/VLSID.2012.90
  • [32] N. Lourenço, E. Afacan, R. Martins, F. Passos, A. Canelas, R. Póvoa, N. Horta, G. Dundar, Using polynomial regression and artificial neural networks for reusable analog ic sizing, in: 2019 16th International Conference on Synthesis, Modeling, Analysis and Simulation Methods and Applications to Circuit Design (SMACD), 2019, pp. 13–16. doi:10.1109/SMACD.2019.8795282.
  • [33] T. McConaghy, G. Gielen, Template-free symbolic performance modeling of analog circuits via canonical-form functions and genetic programming, Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on 28(8) (2009) 1162 – 1175. doi:10.1109/TCAD.2009.2021034.
  • [34] W. Daems, G. Gielen, W. Sansen, Simulation-based generation of posynomial performance models for the sizing of analog integrated circuits, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 22 (5) (2003) 517–534. doi:10.1109/TCAD.2003.810742.
  • [35] T. McConaghy, G. G. E. Gielen, Template-free symbolic performance modeling of analog circuits via canonical-form functions and genetic programming, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 28 (8) (2009) 1162–1175. doi:10.1109/TCAD.2009.2021034.
  • [36] X. Tang, A. Xu, Multi-class classification using kernel density estimation on k-nearest neighbours, Electronics Letters 52 (8) (2016) 600–602. doi:https://doi.org/10.1049/el.2015.4437.
  • [37] T. Kiely, G. Gielen, Performance modeling of analog integrated circuits using least-squares support vector machines, in: Proceedings Design, Automation and Test in Europe Conference and Exhibition, Vol. 1, 2004, pp. 448–453 Vol.1. doi:10.1109/DATE.2004.1268887.
  • [38] A. Lberni, M. A. Marktani, A. Ahaitouf, A. Ahaitouf, Analog circuit sizing based on evolutionary algorithms and deep learning, Expert Systems with Applications 237 (2024) 121480. doi:https://doi.org/10.1016/j.eswa.2023.121480.
    URL https://www.sciencedirect.com/science/article/pii/S0957417423019826
  • [39] M. Hassanpourghadi, S. Su, R. Rasul, J. Liu, Q. Zhang, M. Chen, Circuit connectivity inspired neural network for analog mixed-signal functional modeling, 2021, pp. 505–510. doi:10.1109/DAC18074.2021.9586236.
  • [40] G. Wolfe, R. Vemuri, Extraction and use of neural network models in automated synthesis of operational amplifiers, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 22 (2) (2003) 198–212. doi:10.1109/TCAD.2002.806600.
  • [41] Y. Li, Y. Wang, Y. Li, R. Zhou, Z. Lin, An artificial neural network assisted optimization system for analog design space exploration, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 39 (10) (2020) 2640–2653. doi:10.1109/TCAD.2019.2961322.
  • [42] S. Du, H. Liu, H. Yin, F. Yu, J. Li, A local surrogate-based parallel optimization for analog circuits, AEU - International Journal of Electronics and Communications 134 (2021) 153667.
  • [43] A. F. Budak, M. Gandara, W. Shi, D. Z. Pan, N. Sun, B. Liu, An efficient analog circuit sizing method based on machine learning assisted global optimization, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 41 (5) (2022) 1209–1221. doi:10.1109/TCAD.2021.3081405.
  • [44] S. Yin, R. Wang, J. Zhang, X. Liu, Y. Wang, Fast surrogate-assisted constrained multiobjective optimization for analog circuit sizing via self-adaptive incremental learning, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 42 (7) (2023) 2080–2093.
  • [45] S. Du, H. Liu, Q. Hong, C. Wang, A surrogate-based parallel optimization of analog circuits using multi-acquisition functions, AEU - International Journal of Electronics and Communications 146 (2022) 154105.
  • [46] O. Okobiah, S. Mohanty, E. Kougianos, Fast design optimization through simple kriging metamodeling: A sense amplifier case study, IEEE Transactions on Very Large Scale Integration (VLSI) Systems 22 (4) (2014) 932–937. doi:10.1109/TVLSI.2013.2256436.
  • [47] W. Lyu, P. Xue, F. Yang, C. Yan, Z. Hong, X. Zeng, D. Zhou, An efficient bayesian optimization approach for automated optimization of analog circuits, IEEE Transactions on Circuits and Systems I: Regular Papers 65 (6) (2018) 1954–1967. doi:10.1109/TCSI.2017.2768826.
  • [48] X. Li, L. Chang, Y. Cao, J. Lu, X. Lu, H. Jiang, Physics-supervised deep learning–based optimization (psdlo) with accuracy and efficiency, Proceedings of the National Academy of Sciences 120 (35) (2023) e2309062120. arXiv:https://www.pnas.org/doi/pdf/10.1073/pnas.2309062120, doi:10.1073/pnas.2309062120.
    URL https://www.pnas.org/doi/abs/10.1073/pnas.2309062120
  • [49] J. H. Holland, Adaptation in Natural and Artificial Systems: An Introductory Analysis with Applications to Biology, Control, and Artificial Intelligence, University of Michigan Press, Ann Arbor, 1975.
  • [50] V. Bhatia, K. Gupta, N. Batra, N. Pandey, Modelling a simple current to voltage converter using ann, in: 2016 IEEE 1st International Conference on Power Electronics, Intelligent Control and Energy Systems (ICPEICES), 2016, pp. 1–4. doi:10.1109/ICPEICES.2016.7853224.
  • [51] E. Dumesnil, F. Nabki, M. Boukadoum, RF-LNA circuit synthesis using an array of artificial neural networks with constrained inputs, in: 2015 IEEE International Symposium on Circuits and Systems (ISCAS), 2015, pp. 573–576. doi:10.1109/ISCAS.2015.7168698.
  • [52] F. Pedregosa, G. Varoquaux, A. Gramfort, V. Michel, B. Thirion, O. Grisel, M. Blondel, P. Prettenhofer, R. Weiss, V. Dubourg, J. Vanderplas, A. Passos, D. Cournapeau, M. Brucher, M. Perrot, E. Duchesnay, Scikit-learn: Machine learning in Python, Journal of Machine Learning Research 12 (2011) 2825–2830.
  • [53] Y. Yang, X. Yin, D. Chen, D. Li, Y. Yang, The high-efficiency optimization design method for two-stage miller compensated operational amplifier, IEEE Transactions on Circuits and Systems II: Express Briefs (2023) 1–1doi:10.1109/TCSII.2023.3333333.