Skip to main content

Showing 1–20 of 20 results for author: Ravi, G S

Searching in archive quant-ph. Search in all archives.
.
  1. arXiv:2406.15721  [pdf, other

    quant-ph

    Clapton: Clifford-Assisted Problem Transformation for Error Mitigation in Variational Quantum Algorithms

    Authors: Lennart Maximilian Seifert, Siddharth Dangwal, Frederic T. Chong, Gokul Subramanian Ravi

    Abstract: Variational quantum algorithms (VQAs) show potential for quantum advantage in the near term of quantum computing, but demand a level of accuracy that surpasses the current capabilities of NISQ devices. To systematically mitigate the impact of quantum device error on VQAs, we propose Clapton: Clifford-Assisted Problem Transformation for Error Mitigation in Variational Quantum Algorithms. Clapton le… ▽ More

    Submitted 21 June, 2024; originally announced June 2024.

  2. arXiv:2312.09733  [pdf, other

    quant-ph cond-mat.mtrl-sci

    Quantum-centric Supercomputing for Materials Science: A Perspective on Challenges and Future Directions

    Authors: Yuri Alexeev, Maximilian Amsler, Paul Baity, Marco Antonio Barroca, Sanzio Bassini, Torey Battelle, Daan Camps, David Casanova, Young jai Choi, Frederic T. Chong, Charles Chung, Chris Codella, Antonio D. Corcoles, James Cruise, Alberto Di Meglio, Jonathan Dubois, Ivan Duran, Thomas Eckl, Sophia Economou, Stephan Eidenbenz, Bruce Elmegreen, Clyde Fare, Ismael Faro, Cristina Sanz Fernández, Rodrigo Neumann Barros Ferreira , et al. (102 additional authors not shown)

    Abstract: Computational models are an essential tool for the design, characterization, and discovery of novel materials. Hard computational tasks in materials science stretch the limits of existing high-performance supercomputing centers, consuming much of their simulation, analysis, and data resources. Quantum computing, on the other hand, is an emerging technology with the potential to accelerate many of… ▽ More

    Submitted 14 December, 2023; originally announced December 2023.

    Comments: 60 pages, 14 figures; comments welcome

  3. arXiv:2312.01036  [pdf, other

    quant-ph cs.AR

    Optimal Clifford Initial States for Ising Hamiltonians

    Authors: Bikrant Bhattacharyya, Gokul Subramanian Ravi

    Abstract: Evaluating quantum circuits is currently very noisy. Therefore, develo** classical bootstraps that help minimize the number of times quantum circuits have to be executed on noisy quantum devices is a powerful technique for improving the practicality of Variational Quantum Algorithms. CAFQA is a previously proposed classical bootstrap for VQAs that uses an initial ansatz that reduces to Clifford… ▽ More

    Submitted 24 February, 2024; v1 submitted 2 December, 2023; originally announced December 2023.

    Comments: Appeared at The 8th Annual IEEE International Conference on Rebooting Computing (ICRC) 2023

  4. arXiv:2308.06634  [pdf, other

    quant-ph eess.SY

    DISQ: Dynamic Iteration Skip** for Variational Quantum Algorithms

    Authors: Junyao Zhang, Hanrui Wang, Gokul Subramanian Ravi, Frederic T. Chong, Song Han, Frank Mueller, Yiran Chen

    Abstract: This paper proposes DISQ to craft a stable landscape for VQA training and tackle the noise drift challenge. DISQ adopts a "drift detector" with a reference circuit to identify and skip iterations that are severely affected by noise drift errors. Specifically, the circuits from the previous training iteration are re-executed as a reference circuit in the current iteration to estimate noise drift im… ▽ More

    Submitted 30 August, 2023; v1 submitted 12 August, 2023; originally announced August 2023.

  5. arXiv:2306.15020  [pdf, other

    quant-ph cs.AR cs.ET

    Clifford Assisted Optimal Pass Selection for Quantum Transpilation

    Authors: Siddharth Dangwal, Gokul Subramanian Ravi, Lennart Maximilian Seifert, Frederic T. Chong

    Abstract: The fidelity of quantum programs in the NISQ era is limited by high levels of device noise. To increase the fidelity of quantum programs running on NISQ devices, a variety of optimizations have been proposed. These include map** passes, routing passes, scheduling methods and standalone optimisations which are usually incorporated into a transpiler as passes. Popular transpilers such as those pro… ▽ More

    Submitted 26 June, 2023; originally announced June 2023.

  6. arXiv:2306.06027  [pdf, other

    quant-ph cs.AR cs.ET

    VarSaw: Application-tailored Measurement Error Mitigation for Variational Quantum Algorithms

    Authors: Siddharth Dangwal, Gokul Subramanian Ravi, Poulami Das, Kaitlin N. Smith, Jonathan M. Baker, Frederic T. Chong

    Abstract: For potential quantum advantage, Variational Quantum Algorithms (VQAs) need high accuracy beyond the capability of today's NISQ devices, and thus will benefit from error mitigation. In this work we are interested in mitigating measurement errors which occur during qubit measurements after circuit execution and tend to be the most error-prone operations, especially detrimental to VQAs. Prior work,… ▽ More

    Submitted 29 February, 2024; v1 submitted 9 June, 2023; originally announced June 2023.

    Comments: Appears at the International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS) 2024. First two authors contributed equally

  7. Codesign of quantum error-correcting codes and modular chiplets in the presence of defects

    Authors: Sophia Fuhui Lin, Joshua Viszlai, Kaitlin N. Smith, Gokul Subramanian Ravi, Charles Yuan, Frederic T. Chong, Benjamin J. Brown

    Abstract: Fabrication errors pose a significant challenge in scaling up solid-state quantum devices to the sizes required for fault-tolerant (FT) quantum applications. To mitigate the resource overhead caused by fabrication errors, we combine two approaches: (1) leveraging the flexibility of a modular architecture, (2) adapting the procedure of quantum error correction (QEC) to account for fabrication defec… ▽ More

    Submitted 22 March, 2024; v1 submitted 28 April, 2023; originally announced May 2023.

    Comments: In ASPLOS 2024: the 29th ACM International Conference on Architectural Support for Programming Languages and Operating Systems

  8. Zero noise extrapolation on logical qubits by scaling the error correction code distance

    Authors: Misty A. Wahl, Andrea Mari, Nathan Shammah, William J. Zeng, Gokul Subramanian Ravi

    Abstract: In this work, we migrate the quantum error mitigation technique of Zero-Noise Extrapolation (ZNE) to fault-tolerant quantum computing. We employ ZNE on logically encoded qubits rather than physical qubits. This approach will be useful in a regime where quantum error correction (QEC) is implementable but the number of qubits available for QEC is limited. Apart from illustrating the utility of a tra… ▽ More

    Submitted 24 July, 2023; v1 submitted 28 April, 2023; originally announced April 2023.

    Comments: 10 pages, 7 figures

    Journal ref: 2023 IEEE International Conference on Quantum Computing and Engineering (QCE)

  9. arXiv:2211.12711  [pdf, other

    quant-ph cs.AI cs.AR cs.LG eess.SY

    SnCQA: A hardware-efficient equivariant quantum convolutional circuit architecture

    Authors: Han Zheng, Christopher Kang, Gokul Subramanian Ravi, Hanrui Wang, Kanav Setia, Frederic T. Chong, Junyu Liu

    Abstract: We propose SnCQA, a set of hardware-efficient variational circuits of equivariant quantum convolutional circuits respective to permutation symmetries and spatial lattice symmetries with the number of qubits $n$. By exploiting permutation symmetries of the system, such as lattice Hamiltonians common to many quantum many-body and quantum chemistry problems, Our quantum neural networks are suitable f… ▽ More

    Submitted 22 September, 2023; v1 submitted 23 November, 2022; originally announced November 2022.

    Comments: 10 pages, many figures. IEEE QCE 2023, 1st best paper award in quantum algorithms

    Journal ref: 2023 IEEE International Conference on Quantum Computing and Engineering (QCE), 2023, pp. 236-245

  10. arXiv:2210.10921  [pdf, other

    quant-ph

    Scaling Superconducting Quantum Computers with Chiplet Architectures

    Authors: Kaitlin N. Smith, Gokul Subramanian Ravi, Jonathan M. Baker, Frederic T. Chong

    Abstract: Fixed-frequency transmon quantum computers (QCs) have advanced in coherence times, addressability, and gate fidelities. Unfortunately, these devices are restricted by the number of on-chip qubits, cap** processing power and slowing progress toward fault-tolerance. Although emerging transmon devices feature over 100 qubits, building QCs large enough for meaningful demonstrations of quantum advant… ▽ More

    Submitted 19 October, 2022; originally announced October 2022.

    Comments: Appeared in the 55th IEEE/ACM International Symposium on Microarchitecture (MICRO), 2022

  11. arXiv:2209.13732  [pdf, other

    quant-ph cs.AR

    Boosting Quantum Fidelity with an Ordered Diverse Ensemble of Clifford Canary Circuits

    Authors: Gokul Subramanian Ravi, Jonathan M. Baker, Kaitlin N. Smith, Nathan Earnest, Ali Javadi-Abhari, Frederic Chong

    Abstract: On today's noisy imperfect quantum devices, execution fidelity tends to collapse dramatically for most applications beyond a handful of qubits. It is therefore imperative to employ novel techniques that can boost quantum fidelity in new ways. This paper aims to boost quantum fidelity with Clifford canary circuits by proposing Quancorde: Quantum Canary Ordered Diverse Ensembles, a fundamentally n… ▽ More

    Submitted 27 September, 2022; originally announced September 2022.

  12. arXiv:2209.12280  [pdf, other

    quant-ph cs.AR eess.SY

    Navigating the dynamic noise landscape of variational quantum algorithms with QISMET

    Authors: Gokul Subramanian Ravi, Kaitlin N. Smith, Jonathan M. Baker, Tejas Kannan, Nathan Earnest, Ali Javadi-Abhari, Henry Hoffmann, Frederic T. Chong

    Abstract: Transient errors from the dynamic NISQ noise landscape are challenging to comprehend and are especially detrimental to classes of applications that are iterative and/or long-running, and therefore their timely mitigation is important for quantum advantage in real-world applications. The most popular examples of iterative long-running quantum applications are variational quantum algorithms (VQAs).… ▽ More

    Submitted 29 September, 2023; v1 submitted 25 September, 2022; originally announced September 2022.

    Comments: Appears at the 28th Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS 2023)

  13. arXiv:2208.08547  [pdf, other

    quant-ph cs.AR

    Better Than Worst-Case Decoding for Quantum Error Correction

    Authors: Gokul Subramanian Ravi, Jonathan M. Baker, Arash Fayyazi, Sophia Fuhui Lin, Ali Javadi-Abhari, Massoud Pedram, Frederic T. Chong

    Abstract: The overheads of classical decoding for quantum error correction on superconducting quantum systems grow rapidly with the number of logical qubits and their correction code distance. Decoding at room temperature is bottle-necked by refrigerator I/O bandwidth while cryogenic on-chip decoding is limited by area/power/thermal budget. To overcome these overheads, we are motivated by the observation… ▽ More

    Submitted 25 October, 2022; v1 submitted 17 August, 2022; originally announced August 2022.

    Comments: To appear at the 28th Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS 2023)

  14. arXiv:2203.13260  [pdf, other

    quant-ph cs.DC

    Adaptive job and resource management for the growing quantum cloud

    Authors: Gokul Subramanian Ravi, Kaitlin N. Smith, Prakash Murali, Frederic T. Chong

    Abstract: As the popularity of quantum computing continues to grow, efficient quantum machine access over the cloud is critical to both academic and industry researchers across the globe. And as cloud quantum computing demands increase exponentially, the analysis of resource consumption and execution characteristics are key to efficient management of jobs and resources at both the vendor-end as well as the… ▽ More

    Submitted 24 March, 2022; originally announced March 2022.

    Comments: Appeared at the 2021 IEEE International Conference on Quantum Computing and Engineering. arXiv admin note: text overlap with arXiv:2203.13121. substantial text overlap with arXiv:2203.13121

  15. arXiv:2203.13121  [pdf, other

    quant-ph cs.PF

    Quantum Computing in the Cloud: Analyzing job and machine characteristics

    Authors: Gokul Subramanian Ravi, Kaitlin N. Smith, Pranav Gokhale, Frederic T. Chong

    Abstract: As the popularity of quantum computing continues to grow, quantum machine access over the cloud is critical to both academic and industry researchers across the globe. And as cloud quantum computing demands increase exponentially, the analysis of resource consumption and execution characteristics are key to efficient management of jobs and resources at both the vendor-end as well as the client-end… ▽ More

    Submitted 24 March, 2022; originally announced March 2022.

    Comments: Appeared at the 2021 IEEE International Symposium on Workload Characterization

  16. arXiv:2202.13600  [pdf, other

    quant-ph

    Summary: Chicago Quantum Exchange (CQE) Pulse-level Quantum Control Workshop

    Authors: Kaitlin N. Smith, Gokul Subramanian Ravi, Thomas Alexander, Nicholas T. Bronn, Andre Carvalho, Alba Cervera-Lierta, Frederic T. Chong, Jerry M. Chow, Michael Cubeddu, Akel Hashim, Liang Jiang, Olivia Lanes, Matthew J. Otten, David I. Schuster, Pranav Gokhale, Nathan Earnest, Alexey Galda

    Abstract: Quantum information processing holds great promise for pushing beyond the current frontiers in computing. Specifically, quantum computation promises to accelerate the solving of certain problems, and there are many opportunities for innovation based on applications in chemistry, engineering, and finance. To harness the full potential of quantum computing, however, we must not only place emphasis o… ▽ More

    Submitted 28 February, 2022; originally announced February 2022.

  17. arXiv:2202.12924  [pdf, other

    quant-ph cs.AR

    CAFQA: A classical simulation bootstrap for variational quantum algorithms

    Authors: Gokul Subramanian Ravi, Pranav Gokhale, Yi Ding, William M. Kirby, Kaitlin N. Smith, Jonathan M. Baker, Peter J. Love, Henry Hoffmann, Kenneth R. Brown, Frederic T. Chong

    Abstract: This work tackles the problem of finding a good ansatz initialization for Variational Quantum Algorithms (VQAs), by proposing CAFQA, a Clifford Ansatz For Quantum Accuracy. The CAFQA ansatz is a hardware-efficient circuit built with only Clifford gates. In this ansatz, the parameters for the tunable gates are chosen by searching efficiently through the Clifford parameter space via classical simula… ▽ More

    Submitted 29 September, 2023; v1 submitted 25 February, 2022; originally announced February 2022.

    Comments: Appears at the 28th Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS 2023). Previous title - CAFQA: Clifford Ansatz For Quantum Accuracy. Paper revised to ASPLOS requirements, added additional improvements to the CAFQA framework / evaluation. Added preliminary exploration on CAFQA with T gates

  18. arXiv:2202.11045  [pdf, other

    quant-ph cs.AR

    SupermarQ: A Scalable Quantum Benchmark Suite

    Authors: Teague Tomesh, Pranav Gokhale, Victory Omole, Gokul Subramanian Ravi, Kaitlin N. Smith, Joshua Viszlai, Xin-Chuan Wu, Nikos Hardavellas, Margaret R. Martonosi, Frederic T. Chong

    Abstract: The emergence of quantum computers as a new computational paradigm has been accompanied by speculation concerning the scope and timeline of their anticipated revolutionary changes. While quantum computing is still in its infancy, the variety of different architectures used to implement quantum computations make it difficult to reliably measure and compare performance. This problem motivates our in… ▽ More

    Submitted 27 April, 2022; v1 submitted 22 February, 2022; originally announced February 2022.

    Comments: 17 pages, 4 figures, Awarded Best Paper during the 28th IEEE International Symposium on High-Performance Computer Architecture (HPCA-28), Seoul, South Korea

  19. arXiv:2112.05821  [pdf, other

    quant-ph

    VAQEM: A Variational Approach to Quantum Error Mitigation

    Authors: Gokul Subramanian Ravi, Kaitlin N. Smith, Pranav Gokhale, Andrea Mari, Nathan Earnest, Ali Javadi-Abhari, Frederic T. Chong

    Abstract: Variational Quantum Algorithms (VQAs) are relatively robust to noise, but errors are still a significant detriment to VQAs on near-term quantum machines. It is imperative to employ error mitigation techniques to improve VQA fidelity. While existing error mitigation techniques built from theory provide substantial gains, the disconnect between theory and real machine execution limits their benefits… ▽ More

    Submitted 10 December, 2021; originally announced December 2021.

    Comments: To appear at The 28th IEEE International Symposium on High-PerformanceComputer Architecture (HPCA-28)

  20. arXiv:2105.01760  [pdf, other

    quant-ph

    Error Mitigation in Quantum Computers through Instruction Scheduling

    Authors: Kaitlin N. Smith, Gokul Subramanian Ravi, Prakash Murali, Jonathan M. Baker, Nathan Earnest, Ali Javadi-Abhari, Frederic T. Chong

    Abstract: Quantum systems have potential to demonstrate significant computational advantage, but current quantum devices suffer from the rapid accumulation of error that prevents the storage of quantum information over extended periods. The unintentional coupling of qubits to their environment and each other adds significant noise to computation, and improved methods to combat decoherence are required to bo… ▽ More

    Submitted 10 November, 2021; v1 submitted 4 May, 2021; originally announced May 2021.