Skip to main content

Showing 1–7 of 7 results for author: Verhelst, M

Searching in archive eess. Search in all archives.
.
  1. COAC: Cross-layer Optimization of Accelerator Configurability for Efficient CNN Processing

    Authors: Steven Colleman, Man Shi, Marian Verhelst

    Abstract: To achieve high accuracy, convolutional neural networks (CNNs) are increasingly growing in complexity and diversity in layer types and topologies. This makes it very challenging to efficiently deploy such networks on custom processor architectures for resource-scarce edge devices. Existing map** exploration frameworks enable searching for the optimal execution schedules or hardware map**s of i… ▽ More

    Submitted 19 June, 2024; originally announced June 2024.

    Comments: 14 pages,17 figures.Journal IEEE Transactions on Very Large Scale Integration (VLSI) Systems

    Journal ref: in IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 31, no. 7, pp. 945-958, July 2023

  2. ACCO: Automated Causal CNN Scheduling Optimizer for Real-Time Edge Accelerators

    Authors: Jun Yin, Linyan Mei, Andre Guntoro, Marian Verhelst

    Abstract: Spatio-Temporal Convolutional Neural Networks (ST-CNN) allow extending CNN capabilities from image processing to consecutive temporal-pattern recognition. Generally, state-of-the-art (SotA) ST-CNNs inflate the feature maps and weights from well-known CNN backbones to represent the additional time dimension. However, edge computing applications would suffer tremendously from such large computation… ▽ More

    Submitted 11 June, 2024; originally announced June 2024.

    Journal ref: 2023 IEEE 41st International Conference on Computer Design (ICCD), Washington, DC, USA, 2023, pp. 391-398

  3. Analog or Digital In-memory Computing? Benchmarking through Quantitative Modeling

    Authors: Jiacong Sun, Pouya Houshmand, Marian Verhelst

    Abstract: In-Memory Computing (IMC) has emerged as a promising paradigm for energy-efficient, throughput-efficient and area-efficient machine learning at the edge. However, the differences in hardware architectures, array dimensions, and fabrication technologies among published IMC realizations have made it difficult to grasp their relative strengths. Moreover, previous studies have primarily focused on exp… ▽ More

    Submitted 23 May, 2024; originally announced May 2024.

  4. arXiv:2305.18335  [pdf, other

    cs.AR eess.IV eess.SP

    Benchmarking and modeling of analog and digital SRAM in-memory computing architectures

    Authors: Pouya Houshmand, Jiacong Sun, Marian Verhelst

    Abstract: In-memory-computing is emerging as an efficient hardware paradigm for deep neural network accelerators at the edge, enabling to break the memory wall and exploit massive computational parallelism. Two design models have surged: analog in-memory-computing (AIMC) and digital in-memory-computing (DIMC), offering a different design space in terms of accuracy, efficiency and dataflow flexibility. This… ▽ More

    Submitted 25 May, 2023; originally announced May 2023.

  5. arXiv:2301.12808  [pdf, other

    eess.AS

    Real-Time Acoustic Perception for Automotive Applications

    Authors: Jun Yin, Stefano Damiano, Marian Verhelst, Toon van Waterschoot, Andre Guntoro

    Abstract: In recent years the automotive industry has been strongly promoting the development of smart cars, equipped with multi-modal sensors to gather information about the surroundings, in order to aid human drivers or make autonomous decisions. While the focus has mostly been on visual sensors, also acoustic events are crucial to detect situations that require a change in the driving behavior, such as a… ▽ More

    Submitted 30 January, 2023; originally announced January 2023.

  6. arXiv:2112.05660  [pdf, other

    cs.AR cs.DC eess.SY

    DPU: DAG Processing Unit for Irregular Graphs with Precision-Scalable Posit Arithmetic in 28nm

    Authors: Nimish Shah, Laura Isabel Galindez Olascoaga, Shirui Zhao, Wannes Meert, Marian Verhelst

    Abstract: Computation in several real-world applications like probabilistic machine learning, sparse linear algebra, and robotic navigation, can be modeled as irregular directed acyclic graphs (DAGs). The irregular data dependencies in DAGs pose challenges to parallel execution on general-purpose CPUs and GPUs, resulting in severe under-utilization of the hardware. This paper proposes DPU, a specialized pro… ▽ More

    Submitted 10 December, 2021; originally announced December 2021.

    Comments: IEEE Journal of Solid-State Circuits

  7. arXiv:1812.06672  [pdf, other

    eess.AS cs.SD

    A multi-layered energy consumption model for smart wireless acoustic sensor networks

    Authors: Gert Dekkers, Fernando Rosas, Steven Lauwereins, Sreeraj Rajendran, Sofie Pollin, Bart Vanrumste, Toon van Waterschoot, Marian Verhelst, Peter Karsmakers

    Abstract: Smart sensing is expected to become a pervasive technology in smart cities and environments of the near future. These services are improving their capabilities due to integrated devices shrinking in size while maintaining their computational power, which can run diverse Machine Learning algorithms and achieve high performance in various data-processing tasks. One attractive sensor modality to be u… ▽ More

    Submitted 17 December, 2018; originally announced December 2018.