Skip to main content

Showing 1–36 of 36 results for author: Zhuo, C

Searching in archive cs. Search in all archives.
.
  1. arXiv:2406.14319  [pdf, other

    cs.AI cs.CL

    LiveMind: Low-latency Large Language Models with Simultaneous Inference

    Authors: Chuangtao Chen, Grace Li Zhang, Xunzhao Yin, Cheng Zhuo, Ulf Schlichtmann, Bing Li

    Abstract: In this paper, we introduce a novel low-latency inference framework for large language models (LLMs) inference which enables LLMs to perform inferences with incomplete prompts. By reallocating computational processes to prompt input phase, we achieve a substantial reduction in latency, thereby significantly enhancing the interactive experience for users of LLMs. The framework adeptly manages the v… ▽ More

    Submitted 20 June, 2024; originally announced June 2024.

  2. arXiv:2402.10671  [pdf, other

    cs.CL

    Decomposition for Enhancing Attention: Improving LLM-based Text-to-SQL through Workflow Paradigm

    Authors: Yuanzhen Xie, Xinzhou **, Tao Xie, MingXiong Lin, Liang Chen, Chenyun Yu, Lei Cheng, ChengXiang Zhuo, Bo Hu, Zang Li

    Abstract: In-context learning of large-language models (LLMs) has achieved remarkable success in the field of natural language processing, while extensive case studies reveal that the single-step chain-of-thought prompting approach faces challenges such as attention diffusion and inadequate performance in complex tasks like text-to-SQL. To improve the contextual learning capabilities of LLMs in text-to-SQL,… ▽ More

    Submitted 7 June, 2024; v1 submitted 16 February, 2024; originally announced February 2024.

  3. arXiv:2401.05708  [pdf, other

    cs.ET

    FeReX: A Reconfigurable Design of Multi-bit Ferroelectric Compute-in-Memory for Nearest Neighbor Search

    Authors: Zhicheng Xu, Che-Kai Liu, Chao Li, Ruibin Mao, Jianyi Yang, Thomas Kämpfe, Mohsen Imani, Can Li, Cheng Zhuo, Xunzhao Yin

    Abstract: Rapid advancements in artificial intelligence have given rise to transformative models, profoundly impacting our lives. These models demand massive volumes of data to operate effectively, exacerbating the data-transfer bottleneck inherent in the conventional von-Neumann architecture. Compute-in-memory (CIM), a novel computing paradigm, tackles these issues by seamlessly embedding in-memory search… ▽ More

    Submitted 11 January, 2024; originally announced January 2024.

    Comments: 6 pages, 8 figures, 3 tables. Accepted by Design Automation and Test in Europe (DATE) 2024

  4. arXiv:2312.17535  [pdf, other

    cs.AI cs.CL cs.HC

    Olapa-MCoT: Enhancing the Chinese Mathematical Reasoning Capability of LLMs

    Authors: Shaojie Zhu, Zhaobin Wang, Chengxiang Zhuo, Hui Lu, Bo Hu, Zang Li

    Abstract: CoT (Chain-of-Thought) is a way to solve reasoning problems for LLMs . Recently, many researches appear for improving the CoT capability of LLMs. In this work, we also proposed Olapa-MCoT, which is a LLMs based on llama2-13B PLM for finetuning and alignment learning. During the alignment training, we proposed the SimRRHF algorithm and Incorrect Data Relearning and mainly focused on optimizing the… ▽ More

    Submitted 29 December, 2023; originally announced December 2023.

    Comments: 10 pages, 1 figures

  5. arXiv:2312.17444  [pdf, other

    cs.ET eess.SP

    Reconfigurable Frequency Multipliers Based on Complementary Ferroelectric Transistors

    Authors: Haotian Xu, Jianyi Yang, Cheng Zhuo, Thomas Kämpfe, Kai Ni, Xunzhao Yin

    Abstract: Frequency multipliers, a class of essential electronic components, play a pivotal role in contemporary signal processing and communication systems. They serve as crucial building blocks for generating high-frequency signals by multiplying the frequency of an input signal. However, traditional frequency multipliers that rely on nonlinear devices often require energy- and area-consuming filtering an… ▽ More

    Submitted 28 December, 2023; originally announced December 2023.

    Comments: 6 pages, 8 figures, 1 table. Accepted by Design Automation and Test in Europe (DATE) 2024

  6. arXiv:2312.17442  [pdf, other

    cs.ET

    Low Power and Temperature-Resilient Compute-In-Memory Based on Subthreshold-FeFET

    Authors: Yifei Zhou, Xuchu Huang, Jianyi Yang, Kai Ni, Hussam Amrouch, Cheng Zhuo, Xunzhao Yin

    Abstract: Compute-in-memory (CiM) is a promising solution for addressing the challenges of artificial intelligence (AI) and the Internet of Things (IoT) hardware such as 'memory wall' issue. Specifically, CiM employing nonvolatile memory (NVM) devices in a crossbar structure can efficiently accelerate multiply-accumulation (MAC) computation, a crucial operator in neural networks among various AI models. Low… ▽ More

    Submitted 10 January, 2024; v1 submitted 28 December, 2023; originally announced December 2023.

    Comments: 6 pages, 9 figures, 2 tables. Accepted by Design Automation and Test in Europe (DATE) 2024

  7. arXiv:2312.05875  [pdf, other

    cs.AI

    Class-Aware Pruning for Efficient Neural Networks

    Authors: Mengnan Jiang, **gcun Wang, Amro Eldebiky, Xunzhao Yin, Cheng Zhuo, Ing-Chao Lin, Grace Li Zhang

    Abstract: Deep neural networks (DNNs) have demonstrated remarkable success in various fields. However, the large number of floating-point operations (FLOPs) in DNNs poses challenges for their deployment in resource-constrained applications, e.g., edge devices. To address the problem, pruning has been introduced to reduce the computational cost in executing DNNs. Previous pruning strategies are based on weig… ▽ More

    Submitted 18 February, 2024; v1 submitted 10 December, 2023; originally announced December 2023.

    Comments: Accepted by Design Automation and Test in Europe (DATE) 2024

  8. arXiv:2310.04940  [pdf, other

    cs.AR

    SEE-MCAM: Scalable Multi-bit FeFET Content Addressable Memories for Energy Efficient Associative Search

    Authors: Shengxi Shou, Che-Kai Liu, Sanggeon Yun, Zishen Wan, Kai Ni, Mohsen Imani, X. Sharon Hu, Jianyi Yang, Cheng Zhuo, Xunzhao Yin

    Abstract: In this work, we propose SEE-MCAM, scalable and compact multi-bit CAM (MCAM) designs that utilize the three-terminal ferroelectric FET (FeFET) as the proxy. By exploiting the multi-level-cell characteristics of FeFETs, our proposed SEE-MCAM designs enable multi-bit associative search functions and achieve better energy efficiency and performance than existing FeFET-based CAM designs. We validated… ▽ More

    Submitted 7 October, 2023; originally announced October 2023.

    Comments: Accepted by Internation Conference on Computer-Aided Design (ICCAD), 2023

  9. arXiv:2309.13853  [pdf, other

    cs.ET

    A Ferroelectric Compute-in-Memory Annealer for Combinatorial Optimization Problems

    Authors: Xunzhao Yin, Yu Qian, Alptekin Vardar, Marcel Gunther, Franz Muller, Nellie Laleni, Zijian Zhao, Zhouhang Jiang, Zhiguo Shi, Yiyu Shi, Xiao Gong, Cheng Zhuo, Thomas Kampfe, Kai Ni

    Abstract: Computationally hard combinatorial optimization problems (COPs) are ubiquitous in many applications, including logistical planning, resource allocation, chip design, drug explorations, and more. Due to their critical significance and the inability of conventional hardware in efficiently handling scaled COPs, there is a growing interest in develo** computing hardware tailored specifically for COP… ▽ More

    Submitted 24 September, 2023; originally announced September 2023.

    Comments: 39 pages, 12 figures

  10. arXiv:2306.07294  [pdf, other

    cs.LG cs.AI cs.NE

    Computational and Storage Efficient Quadratic Neurons for Deep Neural Networks

    Authors: Chuangtao Chen, Grace Li Zhang, Xunzhao Yin, Cheng Zhuo, Ulf Schlichtmann, Bing Li

    Abstract: Deep neural networks (DNNs) have been widely deployed across diverse domains such as computer vision and natural language processing. However, the impressive accomplishments of DNNs have been realized alongside extensive computational demands, thereby impeding their applicability on resource-constrained devices. To address this challenge, many researchers have been focusing on basic neuron structu… ▽ More

    Submitted 27 November, 2023; v1 submitted 10 June, 2023; originally announced June 2023.

    Comments: Accepted by Design Automation and Test in Europe (DATE) 2024

  11. arXiv:2305.18771  [pdf, other

    eess.IV cs.CV cs.LG stat.ML

    SFCNeXt: a simple fully convolutional network for effective brain age estimation with small sample size

    Authors: Yu Fu, Yanyan Huang, Shunjie Dong, Yalin Wang, Tianbai Yu, Meng Niu, Cheng Zhuo

    Abstract: Deep neural networks (DNN) have been designed to predict the chronological age of a healthy brain from T1-weighted magnetic resonance images (T1 MRIs), and the predicted brain age could serve as a valuable biomarker for the early detection of development-related or aging-related disorders. Recent DNN models for brain age estimations usually rely too much on large sample sizes and complex network s… ▽ More

    Submitted 30 May, 2023; originally announced May 2023.

    Comments: This paper has been accepted by IEEE ISBI 2023

  12. arXiv:2305.16334  [pdf, other

    cs.CL cs.AI

    OlaGPT: Empowering LLMs With Human-like Problem-Solving Abilities

    Authors: Yuanzhen Xie, Tao Xie, Mingxiong Lin, WenTao Wei, Chenglin Li, Beibei Kong, Lei Chen, Chengxiang Zhuo, Bo Hu, Zang Li

    Abstract: In most current research, large language models (LLMs) are able to perform reasoning tasks by generating chains of thought through the guidance of specific prompts. However, there still exists a significant discrepancy between their capability in solving complex reasoning problems and that of humans. At present, most approaches focus on chains of thought (COT) and tool use, without considering the… ▽ More

    Submitted 23 May, 2023; originally announced May 2023.

  13. arXiv:2301.12181  [pdf, other

    cs.AR

    A Survey on Approximate Multiplier Designs for Energy Efficiency: From Algorithms to Circuits

    Authors: Ying Wu, Chuangtao Chen, Weihua Xiao, Xuan Wang, Chenyi Wen, Jie Han, Xunzhao Yin, Weikang Qian, Cheng Zhuo

    Abstract: Given the stringent requirements of energy efficiency for Internet-of-Things edge devices, approximate multipliers, as a basic component of many processors and accelerators, have been constantly proposed and studied for decades, especially in error-resilient applications. The computation error and energy efficiency largely depend on how and where the approximation is introduced into a design. Thus… ▽ More

    Submitted 29 June, 2023; v1 submitted 28 January, 2023; originally announced January 2023.

    Comments: 38 pages, 37 figures

  14. arXiv:2211.14926  [pdf, other

    cs.LG

    Step**Net: A Step** Neural Network with Incremental Accuracy Enhancement

    Authors: Wenhao Sun, Grace Li Zhang, Xunzhao Yin, Cheng Zhuo, Huaxi Gu, Bing Li, Ulf Schlichtmann

    Abstract: Deep neural networks (DNNs) have successfully been applied in many fields in the past decades. However, the increasing number of multiply-and-accumulate (MAC) operations in DNNs prevents their application in resource-constrained and resource-varying platforms, e.g., mobile phones and autonomous vehicles. In such platforms, neural networks need to provide acceptable results quickly and the accuracy… ▽ More

    Submitted 27 November, 2022; originally announced November 2022.

    Comments: accepted by DATE2023 (Design, Automation and Test in Europe)

  15. arXiv:2211.00312  [pdf, other

    cs.CV cs.LG

    HDNet: Hierarchical Dynamic Network for Gait Recognition using Millimeter-Wave Radar

    Authors: Yanyan Huang, Yong Wang, Kun Shi, Chaojie Gu, Yu Fu, Cheng Zhuo, Zhiguo Shi

    Abstract: Gait recognition is widely used in diversified practical applications. Currently, the most prevalent approach is to recognize human gait from RGB images, owing to the progress of computer vision technologies. Nevertheless, the perception capability of RGB cameras deteriorates in rough circumstances, and visual surveillance may cause privacy invasion. Due to the robustness and non-invasive feature… ▽ More

    Submitted 1 November, 2022; originally announced November 2022.

  16. arXiv:2209.11971  [pdf, other

    cs.ET eess.SP

    A Homogeneous Processing Fabric for Matrix-Vector Multiplication and Associative Search Using Ferroelectric Time-Domain Compute-in-Memory

    Authors: Xunzhao Yin, Qingrong Huang, Franz Müller, Shan Deng, Alptekin Vardar, Sourav De, Zhouhang Jiang, Mohsen Imani, Cheng Zhuo, Thomas Kämpfe, Kai Ni

    Abstract: In this work, we propose a ferroelectric FET(FeFET) time-domain compute-in-memory (TD-CiM) array as a homogeneous processing fabric for binary multiplication-accumulation (MAC) and content addressable memory (CAM). We demonstrate that: i) the XOR(XNOR)/AND logic function can be realized using a single cell composed of 2FeFETs connected in series; ii) a two-phase computation in an inverter chain wi… ▽ More

    Submitted 24 September, 2022; originally announced September 2022.

    Comments: 8 pages, 8 figures

  17. arXiv:2208.00800  [pdf, other

    cs.LG cs.AR

    GANDSE: Generative Adversarial Network based Design Space Exploration for Neural Network Accelerator Design

    Authors: Lang Feng, Wenjian Liu, Chuliang Guo, Ke Tang, Cheng Zhuo, Zhongfeng Wang

    Abstract: With the popularity of deep learning, the hardware implementation platform of deep learning has received increasing interest. Unlike the general purpose devices, e.g., CPU, or GPU, where the deep learning algorithms are executed at the software level, neural network hardware accelerators directly execute the algorithms to achieve higher both energy efficiency and performance improvements. However,… ▽ More

    Submitted 19 November, 2022; v1 submitted 1 August, 2022; originally announced August 2022.

    Comments: Published in ACM Transactions on Design Automation of Electronic Systems

  18. arXiv:2207.12188  [pdf, other

    cs.AR cs.ET

    COSIME: FeFET based Associative Memory for In-Memory Cosine Similarity Search

    Authors: Che-Kai Liu, Haobang Chen, Mohsen Imani, Kai Ni, Arman Kazemi, Ann Franchesca Laguna, Michael Niemier, Xiaobo Sharon Hu, Liang Zhao, Cheng Zhuo, Xunzhao Yin

    Abstract: In a number of machine learning models, an input query is searched across the trained class vectors to find the closest feature class vector in cosine similarity metric. However, performing the cosine similarities between the vectors in Von-Neumann machines involves a large number of multiplications, Euclidean normalizations and division operations, thus incurring heavy hardware energy and latency… ▽ More

    Submitted 25 July, 2022; originally announced July 2022.

    Comments: Accepted by the 41st International Conference on Computer Aided Design (ICCAD), San Diego, USA

  19. arXiv:2206.04682  [pdf, other

    eess.IV cs.CV cs.LG

    RT-DNAS: Real-time Constrained Differentiable Neural Architecture Search for 3D Cardiac Cine MRI Segmentation

    Authors: Qing Lu, Xiaowei Xu, Shunjie Dong, Cong Hao, Lei Yang, Cheng Zhuo, Yiyu Shi

    Abstract: Accurately segmenting temporal frames of cine magnetic resonance imaging (MRI) is a crucial step in various real-time MRI guided cardiac interventions. To achieve fast and accurate visual assistance, there are strict requirements on the maximum latency and minimum throughput of the segmentation framework. State-of-the-art neural networks on this task are mostly hand-crafted to satisfy these constr… ▽ More

    Submitted 13 June, 2022; v1 submitted 8 June, 2022; originally announced June 2022.

  20. arXiv:2205.04684  [pdf, other

    cs.CV cs.LG

    OTFPF: Optimal Transport-Based Feature Pyramid Fusion Network for Brain Age Estimation with 3D Overlapped ConvNeXt

    Authors: Yu Fu, Yanyan Huang, Yalin Wang, Shunjie Dong, Le Xue, Xunzhao Yin, Qianqian Yang, Yiyu Shi, Cheng Zhuo

    Abstract: Chronological age of healthy brain is able to be predicted using deep neural networks from T1-weighted magnetic resonance images (T1 MRIs), and the predicted brain age could serve as an effective biomarker for detecting aging-related diseases or disorders. In this paper, we propose an end-to-end neural network architecture, referred to as optimal transport based feature pyramid fusion (OTFPF) netw… ▽ More

    Submitted 11 May, 2022; v1 submitted 10 May, 2022; originally announced May 2022.

  21. arXiv:2204.13109  [pdf, other

    cs.LG

    Worst-Case Dynamic Power Distribution Network Noise Prediction Using Convolutional Neural Network

    Authors: Xiao Dong, Yufei Chen, Xunzhao Yin, Cheng Zhuo

    Abstract: Worst-case dynamic PDN noise analysis is an essential step in PDN sign-off to ensure the performance and reliability of chips. However, with the growing PDN size and increasing scenarios to be validated, it becomes very time- and resource-consuming to conduct full-stack PDN simulation to check the worst-case noise for different test vectors. Recently, various works have proposed machine learning b… ▽ More

    Submitted 27 April, 2022; originally announced April 2022.

    Comments: 6 pages, 6 figures

  22. arXiv:2203.07948  [pdf, other

    cs.ET eess.SP

    An Ultra-Compact Single FeFET Binary and Multi-Bit Associative Search Engine

    Authors: Xunzhao Yin, Franz Müller, Qingrong Huang, Chao Li, Mohsen Imani, Zeyu Yang, Jiahao Cai, Maximilian Lederer, Ricardo Olivo, Nellie Laleni, Shan Deng, Zijian Zhao, Cheng Zhuo, Thomas Kämpfe, Kai Ni

    Abstract: Content addressable memory (CAM) is widely used in associative search tasks for its highly parallel pattern matching capability. To accommodate the increasingly complex and data-intensive pattern matching tasks, it is critical to keep improving the CAM density to enhance the performance and area efficiency. In this work, we demonstrate: i) a novel ultra-compact 1FeFET CAM design that enables paral… ▽ More

    Submitted 15 March, 2022; originally announced March 2022.

    Comments: 20 pages, 14 figures

  23. arXiv:2203.05516  [pdf, other

    cs.AR

    VirtualSync+: Timing Optimization with Virtual Synchronization

    Authors: Grace Li Zhang, Bing Li, Xing Huang, Xunzhao Yin, Cheng Zhuo, Masanori Hashimoto, Ulf Schlichtmann

    Abstract: In digital circuit designs, sequential components such as flip-flops are used to synchronize signal propagations. Logic computations are aligned at and thus isolated by flip-flop stages. Although this fully synchronous style can reduce design efforts significantly, it may affect circuit performance negatively, because sequential components can only introduce delays into signal propagations but nev… ▽ More

    Submitted 10 March, 2022; originally announced March 2022.

  24. arXiv:2202.06548  [pdf, other

    eess.IV cs.LG

    A resource-efficient deep learning framework for low-dose brain PET image reconstruction and analysis

    Authors: Yu Fu, Shunjie Dong, Yi Liao, Le Xue, Yuanfan Xu, Feng Li, Qianqian Yang, Tianbai Yu, Mei Tian, Cheng Zhuo

    Abstract: 18F-fluorodeoxyglucose (18F-FDG) Positron Emission Tomography (PET) imaging usually needs a full-dose radioactive tracer to obtain satisfactory diagnostic results, which raises concerns about the potential health risks of radiation exposure, especially for pediatric patients. Reconstructing the low-dose PET (L-PET) images to the high-quality full-dose PET (F-PET) ones is an effective way that both… ▽ More

    Submitted 14 February, 2022; originally announced February 2022.

  25. arXiv:2110.02495  [pdf, other

    cs.ET eess.SP

    Deep Random Forest with Ferroelectric Analog Content Addressable Memory

    Authors: Xunzhao Yin, Franz Müller, Ann Franchesca Laguna, Chao Li, Wenwen Ye, Qingrong Huang, Qinming Zhang, Zhiguo Shi, Maximilian Lederer, Nellie Laleni, Shan Deng, Zijian Zhao, Michael Niemier, Xiaobo Sharon Hu, Cheng Zhuo, Thomas Kämpfe, Kai Ni

    Abstract: Deep random forest (DRF), which incorporates the core features of deep learning and random forest (RF), exhibits comparable classification accuracy, interpretability, and low memory and computational overhead when compared with deep neural networks (DNNs) in various information processing tasks for edge intelligence. However, the development of efficient hardware to accelerate DRF is lagging behin… ▽ More

    Submitted 6 October, 2021; originally announced October 2021.

    Comments: 44 pages, 16 figures

  26. arXiv:2107.04367  [pdf, other

    cs.LG

    Lithography Hotspot Detection via Heterogeneous Federated Learning with Local Adaptation

    Authors: Xuezhong Lin, **gyu Pan, **ming Xu, Yiran Chen, Cheng Zhuo

    Abstract: As technology scaling is approaching the physical limit, lithography hotspot detection has become an essential task in design for manufacturability. While the deployment of pattern matching or machine learning in hotspot detection can help save significant simulation time, such methods typically demand for non-trivial quality data to build the model, which most design houses are short of. Moreover… ▽ More

    Submitted 30 July, 2021; v1 submitted 9 July, 2021; originally announced July 2021.

    Comments: 8 pages, 9 figures

  27. arXiv:2102.11099  [pdf, other

    eess.IV cs.CV

    RCoNet: Deformable Mutual Information Maximization and High-order Uncertainty-aware Learning for Robust COVID-19 Detection

    Authors: Shunjie Dong, Qianqian Yang, Yu Fu, Mei Tian, Cheng Zhuo

    Abstract: The novel 2019 Coronavirus (COVID-19) infection has spread world widely and is currently a major healthcare challenge around the world. Chest Computed Tomography (CT) and X-ray images have been well recognized to be two effective techniques for clinical COVID-19 disease diagnoses. Due to faster imaging time and considerably lower cost than CT, detecting COVID-19 in chest X-ray (CXR) images is pref… ▽ More

    Submitted 22 February, 2021; originally announced February 2021.

  28. arXiv:2012.01606  [pdf, other

    cs.LG cs.AI

    Domain Adaptation with Incomplete Target Domains

    Authors: Zhenpeng Li, Jianan Jiang, Yuhong Guo, Tiantian Tang, Chengxiang Zhuo, Jie** Ye

    Abstract: Domain adaptation, as a task of reducing the annotation cost in a target domain by exploiting the existing labeled data in an auxiliary source domain, has received a lot of attention in the research community. However, the standard domain adaptation has assumed perfectly observed data in both domains, while in real world applications the existence of missing data can be prevalent. In this paper, w… ▽ More

    Submitted 12 June, 2023; v1 submitted 2 December, 2020; originally announced December 2020.

  29. arXiv:2010.05355  [pdf

    eess.IV cs.CV

    Medical Image Harmonization Using Deep Learning Based Canonical Map**: Toward Robust and Generalizable Learning in Imaging

    Authors: Vishnu M. Bashyam, Jimit Doshi, Guray Erus, Dhivya Srinivasan, Ahmed Abdulkadir, Mohamad Habes, Yong Fan, Colin L. Masters, Paul Maruff, Chuanjun Zhuo, Henry Völzke, Sterling C. Johnson, Jurgen Fripp, Nikolaos Koutsouleris, Theodore D. Satterthwaite, Daniel H. Wolf, Raquel E. Gur, Ruben C. Gur, John C. Morris, Marilyn S. Albert, Hans J. Grabe, Susan M. Resnick, R. Nick Bryan, David A. Wolk, Haochang Shou , et al. (2 additional authors not shown)

    Abstract: Conventional and deep learning-based methods have shown great potential in the medical imaging domain, as means for deriving diagnostic, prognostic, and predictive biomarkers, and by contributing to precision medicine. However, these methods have yet to see widespread clinical adoption, in part due to limited generalization performance across various imaging devices, acquisition protocols, and pat… ▽ More

    Submitted 11 October, 2020; originally announced October 2020.

  30. arXiv:2007.06341  [pdf, other

    eess.IV cs.CV

    DeU-Net: Deformable U-Net for 3D Cardiac MRI Video Segmentation

    Authors: Shunjie Dong, **long Zhao, Maojun Zhang, Zhengxue Shi, Jianing Deng, Yiyu Shi, Mei Tian, Cheng Zhuo

    Abstract: Automatic segmentation of cardiac magnetic resonance imaging (MRI) facilitates efficient and accurate volume measurement in clinical applications. However, due to anisotropic resolution and ambiguous border (e.g., right ventricular endocardium), existing methods suffer from the degradation of accuracy and robustness in 3D cardiac MRI video segmentation. In this paper, we propose a novel Deformable… ▽ More

    Submitted 13 July, 2020; originally announced July 2020.

  31. arXiv:2007.06151  [pdf, other

    eess.IV cs.CV

    MS-NAS: Multi-Scale Neural Architecture Search for Medical Image Segmentation

    Authors: Xingang Yan, Weiwen Jiang, Yiyu Shi, Cheng Zhuo

    Abstract: The recent breakthroughs of Neural Architecture Search (NAS) have motivated various applications in medical image segmentation. However, most existing work either simply rely on hyper-parameter tuning or stick to a fixed network backbone, thereby limiting the underlying search space to identify more efficient architecture. This paper presents a Multi-Scale NAS (MS-NAS) framework that is featured w… ▽ More

    Submitted 12 July, 2020; originally announced July 2020.

  32. arXiv:2006.10990  [pdf, other

    cs.CV cs.LG eess.IV

    Cross-denoising Network against Corrupted Labels in Medical Image Segmentation with Domain Shift

    Authors: Qinming Zhang, Luyan Liu, Kai Ma, Cheng Zhuo, Yefeng Zheng

    Abstract: Deep convolutional neural networks (DCNNs) have contributed many breakthroughs in segmentation tasks, especially in the field of medical imaging. However, \textit{domain shift} and \textit{corrupted annotations}, which are two common problems in medical imaging, dramatically degrade the performance of DCNNs in practice. In this paper, we propose a novel robust cross-denoising framework using two p… ▽ More

    Submitted 19 June, 2020; originally announced June 2020.

    Comments: Accepted by IJCAI 2020

  33. arXiv:2004.04631  [pdf, other

    cs.CR cs.LG stat.ML

    Private Knowledge Transfer via Model Distillation with Generative Adversarial Networks

    Authors: Di Gao, Cheng Zhuo

    Abstract: The deployment of deep learning applications has to address the growing privacy concerns when using private and sensitive data for training. A conventional deep learning model is prone to privacy attacks that can recover the sensitive information of individuals from either model parameters or accesses to the target model. Recently, differential privacy that offers provable privacy guarantees has b… ▽ More

    Submitted 5 April, 2020; originally announced April 2020.

    Comments: 9 pages, 4 figures, ECAI 2020, the 24th European Conference on Artificial Intelligence

    ACM Class: I.2.6

  34. FeCAM: A Universal Compact Digital and Analog Content Addressable Memory Using Ferroelectric

    Authors: Xunzhao Yin, Chao Li, Qingrong Huang, Li Zhang, Michael Niemier, Xiaobo Sharon Hu, Cheng Zhuo, Kai Ni

    Abstract: Ferroelectric field effect transistors (FeFETs) are being actively investigated with the potential for in-memory computing (IMC) over other non-volatile memories (NVMs). Content Addressable Memories (CAMs) are a form of IMC that performs parallel searches for matched entries over a memory array for a given input query. CAMs are widely used for data-centric applications that involve pattern matchin… ▽ More

    Submitted 17 July, 2020; v1 submitted 4 April, 2020; originally announced April 2020.

    Comments: 8 pages, 8 figures, accepted

    Journal ref: IEEE Transactions on Electron Devices, 2020

  35. arXiv:1909.04697  [pdf, other

    cs.LG cs.CR stat.ML

    When Single Event Upset Meets Deep Neural Networks: Observations, Explorations, and Remedies

    Authors: Zheyu Yan, Yiyu Shi, Wang Liao, Masanori Hashimoto, Xichuan Zhou, Cheng Zhuo

    Abstract: Deep Neural Network has proved its potential in various perception tasks and hence become an appealing option for interpretation and data processing in security sensitive systems. However, security-sensitive systems demand not only high perception performance, but also design robustness under various circumstances. Unlike prior works that study network robustness from software level, we investigat… ▽ More

    Submitted 10 September, 2019; originally announced September 2019.

    Comments: 7 pages, 8 figures

  36. Eva-CiM: A System-Level Performance and Energy Evaluation Framework for Computing-in-Memory Architectures

    Authors: Di Gao, Dayane Reis, Xiaobo Sharon Hu, Cheng Zhuo

    Abstract: Computing-in-Memory (CiM) architectures aim to reduce costly data transfers by performing arithmetic and logic operations in memory and hence relieve the pressure due to the memory wall. However, determining whether a given workload can really benefit from CiM, which memory hierarchy and what device technology should be adopted by a CiM architecture requires in-depth study that is not only time co… ▽ More

    Submitted 15 January, 2020; v1 submitted 27 January, 2019; originally announced January 2019.

    Comments: 13 pages, 16 figures