Skip to main content

Showing 1–6 of 6 results for author: Vemuri, R

Searching in archive cs. Search in all archives.
.
  1. arXiv:2404.07426  [pdf, other

    cs.CR

    RTL Interconnect Obfuscation By Polymorphic Switch Boxes For Secure Hardware Generation

    Authors: Haimanti Chakraborty, Ranga Vemuri

    Abstract: Logic Obfuscation is a well renowned design-for-trust solution to protect an Integrated Circuit (IC) from unauthorized use and illegal overproduction by including key-gates to lock the design. This is particularly necessary for ICs manufactured at untrusted third-party foundries getting exposed to security threats. In the past, several logic obfuscation methodologies have been proposed that are vu… ▽ More

    Submitted 10 April, 2024; originally announced April 2024.

    Comments: Accepted to appear for publication in the ISQED 2024 Conference Proceedings (IEEE Xplore)

  2. arXiv:2303.07405  [pdf, other

    cs.AR

    Word-Level Structure Identification In FPGA Designs Using Cell Proximity Information

    Authors: Aparajithan Nathamuni-Venkatesan, Ram-Venkat Narayanan, Kishore Pula, Sundarakumar Muthukumaran, Ranga Vemuri

    Abstract: Reverse engineering of FPGA based designs from the flattened LUT level netlist to high level RTL helps in verification of the design or in understanding legacy designs. We focus on flattened netlists for FPGA devices from ** algorithm that makes use of the location information of the elements on the physical device after place and ro… ▽ More

    Submitted 7 March, 2023; originally announced March 2023.

    Comments: Paper accepted into proceedings of VLSID2023 conference

  3. arXiv:2303.02762  [pdf, other

    cs.AR

    Reverse Engineering Word-Level Models from Look-Up Table Netlists

    Authors: Ram Venkat Narayanan, Aparajithan Nathamuni Venkatesan, Kishore Pula, Sundarakumar Muthukumaran, Ranga Vemuri

    Abstract: Reverse engineering of FPGA designs from bitstreams to RTL models aids in understanding the high level functionality of the design and for validating and reconstructing legacy designs. Fast carry-chains are commonly used in synthesis of operators in FPGA designs. We propose a method to detect word-level structures by analyzing these carry-chains in LUT (Look-Up Table) level netlists. We also prese… ▽ More

    Submitted 5 March, 2023; originally announced March 2023.

    Comments: 8 pages, 6 figures, Accepted to appear in ISQED 2023 conference

  4. arXiv:1908.01979  [pdf, other

    eess.SY cs.CR

    Non-Invasive Reverse Engineering of Finite State Machines Using Power Analysis and Boolean Satisfiability

    Authors: Harsh Vamja, Richa Agrawal, Ranga Vemuri

    Abstract: In this paper, we present a non-invasive reverse engineering attack based on a novel approach that combines functional and power analysis to recover finite state machines from their synchronous sequential circuit implementations. The proposed technique formulates the machine exploration and state identification problem as a Boolean constraint satisfaction problem and solves it using a SMT (Satisfi… ▽ More

    Submitted 6 August, 2019; originally announced August 2019.

    Comments: Black-box Analysis, Finite State Machines, Power Analysis, Reverse Engineering, Satisfiability Checking, Proceedings of the 2019 IEEE International Midwest Symposium on Circuits and Systems

  5. arXiv:0710.4752  [pdf

    cs.OH

    An Iterative Algorithm for Battery-Aware Task Scheduling on Portable Computing Platforms

    Authors: Jawad Khan, Ranga Vemuri

    Abstract: In this work we consider battery powered portable systems which either have Field Programmable Gate Arrays (FPGA) or voltage and frequency scalable processors as their main processing element. An application is modeled in the form of a precedence task graph at a coarse level of granularity. We assume that for each task in the task graph several unique design-points are available which correspond… ▽ More

    Submitted 25 October, 2007; originally announced October 2007.

    Comments: Submitted on behalf of EDAA (http://www.edaa.com/)

    Journal ref: Dans Design, Automation and Test in Europe - DATE'05, Munich : Allemagne (2005)

  6. arXiv:0710.4717  [pdf

    cs.AR

    Multi-Placement Structures for Fast and Optimized Placement in Analog Circuit Synthesis

    Authors: Raoul F. Badaoui, Ranga Vemuri

    Abstract: This paper presents the novel idea of multi-placement structures, for a fast and optimized placement instantiation in analog circuit synthesis. These structures need to be generated only once for a specific circuit topology. When used in synthesis, these pre-generated structures instantiate various layout floorplans for various sizes and parameters of a circuit. Unlike procedural layout generato… ▽ More

    Submitted 25 October, 2007; originally announced October 2007.

    Comments: Submitted on behalf of EDAA (http://www.edaa.com/)

    Journal ref: Dans Design, Automation and Test in Europe - DATE'05, Munich : Allemagne (2005)