Skip to main content

Showing 1–10 of 10 results for author: Stoffel, D

Searching in archive cs. Search in all archives.
.
  1. arXiv:2312.08156  [pdf, other

    cs.CR cs.AR

    Okapi: Efficiently Safeguarding Speculative Data Accesses in Sandboxed Environments

    Authors: Philipp Schmitz, Tobias Jauch, Alex Wezel, Mohammad R. Fadiheh, Thore Tiemann, Jonah Heller, Thomas Eisenbarth, Dominik Stoffel, Wolfgang Kunz

    Abstract: This paper introduces Okapi, a new hardware/software cross-layer architecture designed to mitigate Transient Execution Side Channel (TES) attacks in modern computing systems. Okapi enforces sandboxing for speculative execution, providing a hardware basis that can replace expensive speculation barriers in software. At its core, Okapi allows for speculative data accesses to a memory page only afte… ▽ More

    Submitted 23 April, 2024; v1 submitted 13 December, 2023; originally announced December 2023.

  2. arXiv:2312.06515  [pdf, other

    cs.CR

    A Golden-Free Formal Method for Trojan Detection in Non-Interfering Accelerators

    Authors: Anna Lena Duque Antón, Johannes Müller, Lucas Deutschmann, Mohammad Rahmani Fadiheh, Dominik Stoffel, Wolfgang Kunz

    Abstract: The threat of hardware Trojans (HTs) in security-critical IPs like cryptographic accelerators poses severe security risks. The HT detection methods available today mostly rely on golden models and detailed circuit specifications. Often they are specific to certain HT payload types, making pre-silicon verification difficult and leading to security gaps. We propose a novel formal verification method… ▽ More

    Submitted 11 December, 2023; originally announced December 2023.

    Comments: Accepted for publication at the 27th Design, Automation and Test in Europe Conference (DATE'24), Mar 25-27 2024, Valencia, Spain

  3. arXiv:2309.12925  [pdf, other

    cs.CR

    A New Security Threat in MCUs -- SoC-wide timing side channels and how to find them

    Authors: Johannes Müller, Anna Lena Duque Antón, Lucas Deutschmann, Dino Mehmedagić, Mohammad Rahmani Fadiheh, Dominik Stoffel, Wolfgang Kunz

    Abstract: Microarchitectural timing side channels have been thoroughly investigated as a security threat in hardware designs featuring shared buffers (e.g., caches) and/or parallelism between attacker and victim task execution. Contradicting common intuitions, recent activities demonstrate, however, that this threat is real also in microcontroller SoCs without such features. In this paper, we describe SoC-w… ▽ More

    Submitted 22 September, 2023; originally announced September 2023.

  4. A Scalable Formal Verification Methodology for Data-Oblivious Hardware

    Authors: Lucas Deutschmann, Johannes Mueller, Mohammad Rahmani Fadiheh, Dominik Stoffel, Wolfgang Kunz

    Abstract: The importance of preventing microarchitectural timing side channels in security-critical applications has surged in recent years. Constant-time programming has emerged as a best-practice technique for preventing the leakage of secret information through timing. It is based on the assumption that the timing of certain basic machine instructions is independent of their respective input data. Howeve… ▽ More

    Submitted 11 March, 2024; v1 submitted 15 August, 2023; originally announced August 2023.

  5. A Framework for Formal Verification of DRAM Controllers

    Authors: Lukas Steiner, Chirag Sudarshan, Matthias Jung, Dominik Stoffel, Norbert Wehn

    Abstract: The large number of recent JEDEC DRAM standard releases and their increasing feature set makes it difficult for designers to rapidly upgrade the memory controller IPs to each new standard. Especially the hardware verification is challenging due to the higher protocol complexity of standards like DDR5, LPDDR5 or HBM3 in comparison with their predecessors. With traditional simulation-based verificat… ▽ More

    Submitted 28 September, 2022; originally announced September 2022.

    Comments: ACM/IEEE International Symposium on Memory Systems (MEMSYS 2022)

  6. An Exhaustive Approach to Detecting Transient Execution Side Channels in RTL Designs of Processors

    Authors: Mohammad Rahmani Fadiheh, Alex Wezel, Johannes Mueller, Joerg Bormann, Sayak Ray, Jason M. Fung, Subhasish Mitra, Dominik Stoffel, Wolfgang Kunz

    Abstract: Hardware (HW) security issues have been emerging at an alarming rate in recent years. Transient execution attacks, in particular, pose a genuine threat to the security of modern computing systems. Despite recent advances, understanding the intricate implications of microarchitectural design decisions on processor security remains a great challenge and has caused a number of update cycles in the pa… ▽ More

    Submitted 22 February, 2022; v1 submitted 4 August, 2021; originally announced August 2021.

  7. arXiv:2106.10392  [pdf

    cs.AR cs.LO

    Effective Pre-Silicon Verification of Processor Cores by Breaking the Bounds of Symbolic Quick Error Detection

    Authors: Karthik Ganesan, Florian Lonsing, Srinivasa Shashank Nuthakki, Eshan Singh, Mohammad Rahmani Fadiheh, Wolfgang Kunz, Dominik Stoffel, Clark Barrett, Subhasish Mitra

    Abstract: We present a novel approach to pre-silicon verification of processor designs. The purpose of pre-silicon verification is to find logic bugs in a design at an early stage and thus avoid time- and cost-intensive post-silicon debugging. Our approach relies on symbolic quick error detection (Symbolic QED, or SQED). SQED is targeted at finding logic bugs in a symbolic representation of a design by comb… ▽ More

    Submitted 18 June, 2021; originally announced June 2021.

    Comments: This article has the full author list which was missing in arXiv:1908.06757. arXiv admin note: substantial text overlap with arXiv:1908.06757

  8. arXiv:2004.14191  [pdf, other

    cs.SE

    Efficient Binary-Level Coverage Analysis

    Authors: M. Ammar Ben Khadra, Dominik Stoffel, Wolfgang Kunz

    Abstract: Code coverage analysis plays an important role in the software testing process. More recently, the remarkable effectiveness of coverage feedback has triggered a broad interest in feedback-guided fuzzing. In this work, we introduce bcov, a tool for binary-level coverage analysis. Our tool statically instruments x86-64 binaries in the ELF format without compiler support. We implement several techniq… ▽ More

    Submitted 10 September, 2020; v1 submitted 29 April, 2020; originally announced April 2020.

    Comments: Accepted to the ACM Joint Meeting on European Software Engineering Conference and Symposium on the Foundations of Software Engineering - ESEC/FSE 2020

    ACM Class: D.2.5

  9. Symbolic QED Pre-silicon Verification for Automotive Microcontroller Cores: Industrial Case Study

    Authors: Eshan Singh, Keerthikumara Devarajegowda, Sebastian Simon, Ralf Schnieder, Karthik Ganesan, Mohammad R. Fadiheh, Dominik Stoffel, Wolfgang Kunz, Clark Barrett, Wolfgang Ecker, Subhasish Mitra

    Abstract: We present an industrial case study that demonstrates the practicality and effectiveness of Symbolic Quick Error Detection (Symbolic QED) in detecting logic design flaws (logic bugs) during pre-silicon verification. Our study focuses on several microcontroller core designs (~1,800 flip-flops, ~70,000 logic gates) that have been extensively verified using an industrial verification flow and used fo… ▽ More

    Submitted 4 February, 2019; originally announced February 2019.

  10. arXiv:1812.04975  [pdf, other

    cs.CR

    Processor Hardware Security Vulnerabilities and their Detection by Unique Program Execution Checking

    Authors: Mohammad Rahmani Fadiheh, Dominik Stoffel, Clark Barrett, Subhasish Mitra, Wolfgang Kunz

    Abstract: Recent discovery of security attacks in advanced processors, known as Spectre and Meltdown, has resulted in high public alertness about security of hardware. The root cause of these attacks is information leakage across "covert channels" that reveal secret data without any explicit information flow between the secret and the attacker. Many sources believe that such covert channels are intrinsic to… ▽ More

    Submitted 5 December, 2018; originally announced December 2018.