Skip to main content

Showing 1–35 of 35 results for author: Schlichtmann, U

Searching in archive cs. Search in all archives.
.
  1. arXiv:2406.14319  [pdf, other

    cs.AI cs.CL

    LiveMind: Low-latency Large Language Models with Simultaneous Inference

    Authors: Chuangtao Chen, Grace Li Zhang, Xunzhao Yin, Cheng Zhuo, Ulf Schlichtmann, Bing Li

    Abstract: In this paper, we introduce a novel low-latency inference framework for large language models (LLMs) inference which enables LLMs to perform inferences with incomplete prompts. By reallocating computational processes to prompt input phase, we achieve a substantial reduction in latency, thereby significantly enhancing the interactive experience for users of LLMs. The framework adeptly manages the v… ▽ More

    Submitted 20 June, 2024; originally announced June 2024.

  2. arXiv:2406.08413  [pdf, other

    cs.AR cs.LG

    Memory Is All You Need: An Overview of Compute-in-Memory Architectures for Accelerating Large Language Model Inference

    Authors: Christopher Wolters, Xiaoxuan Yang, Ulf Schlichtmann, Toyotaro Suzumura

    Abstract: Large language models (LLMs) have recently transformed natural language processing, enabling machines to generate human-like text and engage in meaningful conversations. This development necessitates speed, efficiency, and accessibility in LLM inference as the computational and memory requirements of these systems grow exponentially. Meanwhile, advancements in computing and memory capabilities are… ▽ More

    Submitted 12 June, 2024; originally announced June 2024.

  3. arXiv:2402.18595  [pdf, other

    cs.AR cs.CE cs.LG

    EncodingNet: A Novel Encoding-based MAC Design for Efficient Neural Network Acceleration

    Authors: Bo Liu, Grace Li Zhang, Xunzhao Yin, Ulf Schlichtmann, Bing Li

    Abstract: Deep neural networks (DNNs) have achieved great breakthroughs in many fields such as image classification and natural language processing. However, the execution of DNNs needs to conduct massive numbers of multiply-accumulate (MAC) operations on hardware and thus incurs a large power consumption. To address this challenge, we propose a novel digital MAC design based on encoding. In this new design… ▽ More

    Submitted 25 February, 2024; originally announced February 2024.

  4. arXiv:2309.10510  [pdf, other

    eess.SY cs.NE

    Logic Design of Neural Networks for High-Throughput and Low-Power Applications

    Authors: Kangwei Xu, Grace Li Zhang, Ulf Schlichtmann, Bing Li

    Abstract: Neural networks (NNs) have been successfully deployed in various fields. In NNs, a large number of multiplyaccumulate (MAC) operations need to be performed. Most existing digital hardware platforms rely on parallel MAC units to accelerate these MAC operations. However, under a given area constraint, the number of MAC units in such platforms is limited, so MAC units have to be reused to perform MAC… ▽ More

    Submitted 19 September, 2023; originally announced September 2023.

    Comments: accepted by ASPDAC 2024

  5. arXiv:2306.08951  [pdf, other

    cs.LG

    MLonMCU: TinyML Benchmarking with Fast Retargeting

    Authors: Philipp van Kempen, Rafael Stahl, Daniel Mueller-Gritschneder, Ulf Schlichtmann

    Abstract: While there exist many ways to deploy machine learning models on microcontrollers, it is non-trivial to choose the optimal combination of frameworks and targets for a given application. Thus, automating the end-to-end benchmarking flow is of high relevance nowadays. A tool called MLonMCU is proposed in this paper and demonstrated by benchmarking the state-of-the-art TinyML frameworks TFLite for Mi… ▽ More

    Submitted 15 June, 2023; originally announced June 2023.

    Comments: CODAI 2022 Workshop - Embedded System Week (ESWeek)

  6. arXiv:2306.07294  [pdf, other

    cs.LG cs.AI cs.NE

    Computational and Storage Efficient Quadratic Neurons for Deep Neural Networks

    Authors: Chuangtao Chen, Grace Li Zhang, Xunzhao Yin, Cheng Zhuo, Ulf Schlichtmann, Bing Li

    Abstract: Deep neural networks (DNNs) have been widely deployed across diverse domains such as computer vision and natural language processing. However, the impressive accomplishments of DNNs have been realized alongside extensive computational demands, thereby impeding their applicability on resource-constrained devices. To address this challenge, many researchers have been focusing on basic neuron structu… ▽ More

    Submitted 27 November, 2023; v1 submitted 10 June, 2023; originally announced June 2023.

    Comments: Accepted by Design Automation and Test in Europe (DATE) 2024

  7. arXiv:2303.17878  [pdf, other

    cs.LG

    Fused Depthwise Tiling for Memory Optimization in TinyML Deep Neural Network Inference

    Authors: Rafael Stahl, Daniel Mueller-Gritschneder, Ulf Schlichtmann

    Abstract: Memory optimization for deep neural network (DNN) inference gains high relevance with the emergence of TinyML, which refers to the deployment of DNN inference tasks on tiny, low-power microcontrollers. Applications such as audio keyword detection or radar-based gesture recognition are heavily constrained by the limited memory on such tiny devices because DNN inference requires large intermediate r… ▽ More

    Submitted 31 March, 2023; originally announced March 2023.

    Comments: Accepted as a full paper by the TinyML Research Symposium 2023

    ACM Class: F.2.2; I.2.8

  8. arXiv:2303.13997  [pdf, other

    cs.NE cs.AI

    PowerPruning: Selecting Weights and Activations for Power-Efficient Neural Network Acceleration

    Authors: Richard Petri, Grace Li Zhang, Yiran Chen, Ulf Schlichtmann, Bing Li

    Abstract: Deep neural networks (DNNs) have been successfully applied in various fields. A major challenge of deploying DNNs, especially on edge devices, is power consumption, due to the large number of multiply-and-accumulate (MAC) operations. To address this challenge, we propose PowerPruning, a novel method to reduce power consumption in digital neural network accelerators by selecting weights that lead t… ▽ More

    Submitted 27 November, 2023; v1 submitted 24 March, 2023; originally announced March 2023.

    Comments: accepted by Design Automation Conference (DAC) 2023

  9. arXiv:2212.14337  [pdf, other

    cs.NE cs.ET

    Biologically Plausible Learning on Neuromorphic Hardware Architectures

    Authors: Christopher Wolters, Brady Taylor, Edward Hanson, Xiaoxuan Yang, Ulf Schlichtmann, Yiran Chen

    Abstract: With an ever-growing number of parameters defining increasingly complex networks, Deep Learning has led to several breakthroughs surpassing human performance. As a result, data movement for these millions of model parameters causes a growing imbalance known as the memory wall. Neuromorphic computing is an emerging paradigm that confronts this imbalance by performing computations directly in analog… ▽ More

    Submitted 11 April, 2023; v1 submitted 29 December, 2022; originally announced December 2022.

  10. arXiv:2211.14928  [pdf, ps, other

    cs.LG

    Class-based Quantization for Neural Networks

    Authors: Wenhao Sun, Grace Li Zhang, Huaxi Gu, Bing Li, Ulf Schlichtmann

    Abstract: In deep neural networks (DNNs), there are a huge number of weights and multiply-and-accumulate (MAC) operations. Accordingly, it is challenging to apply DNNs on resource-constrained platforms, e.g., mobile phones. Quantization is a method to reduce the size and the computational complexity of DNNs. Existing quantization methods either require hardware overhead to achieve a non-uniform quantization… ▽ More

    Submitted 27 November, 2022; originally announced November 2022.

    Comments: accepted by DATE2023 (Design, Automation and Test in Europe)

  11. arXiv:2211.14926  [pdf, other

    cs.LG

    Step**Net: A Step** Neural Network with Incremental Accuracy Enhancement

    Authors: Wenhao Sun, Grace Li Zhang, Xunzhao Yin, Cheng Zhuo, Huaxi Gu, Bing Li, Ulf Schlichtmann

    Abstract: Deep neural networks (DNNs) have successfully been applied in many fields in the past decades. However, the increasing number of multiply-and-accumulate (MAC) operations in DNNs prevents their application in resource-constrained and resource-varying platforms, e.g., mobile phones and autonomous vehicles. In such platforms, neural networks need to provide acceptable results quickly and the accuracy… ▽ More

    Submitted 27 November, 2022; originally announced November 2022.

    Comments: accepted by DATE2023 (Design, Automation and Test in Europe)

  12. arXiv:2211.14917  [pdf, other

    cs.AR cs.LG

    CorrectNet: Robustness Enhancement of Analog In-Memory Computing for Neural Networks by Error Suppression and Compensation

    Authors: Amro Eldebiky, Grace Li Zhang, Georg Boecherer, Bing Li, Ulf Schlichtmann

    Abstract: The last decade has witnessed the breakthrough of deep neural networks (DNNs) in many fields. With the increasing depth of DNNs, hundreds of millions of multiply-and-accumulate (MAC) operations need to be executed. To accelerate such operations efficiently, analog in-memory computing platforms based on emerging devices, e.g., resistive RAM (RRAM), have been introduced. These acceleration platforms… ▽ More

    Submitted 27 November, 2022; originally announced November 2022.

    Comments: Accepted by DATE 2023 (Design, Automation and Test in Europe)

  13. arXiv:2203.05516  [pdf, other

    cs.AR

    VirtualSync+: Timing Optimization with Virtual Synchronization

    Authors: Grace Li Zhang, Bing Li, Xing Huang, Xunzhao Yin, Cheng Zhuo, Masanori Hashimoto, Ulf Schlichtmann

    Abstract: In digital circuit designs, sequential components such as flip-flops are used to synchronize signal propagations. Logic computations are aligned at and thus isolated by flip-flop stages. Although this fully synchronous style can reduce design efforts significantly, it may affect circuit performance negatively, because sequential components can only introduce delays into signal propagations but nev… ▽ More

    Submitted 10 March, 2022; originally announced March 2022.

  14. Differentially Evolving Memory Ensembles: Pareto Optimization based on Computational Intelligence for Embedded Memories on a System Level

    Authors: Felix Last, Ceren Yeni, Ulf Schlichtmann

    Abstract: As the relative power, performance, and area (PPA) impact of embedded memories continues to grow, proper parameterization of each of the thousands of memories on a chip is essential. When the parameters of all memories of a product are optimized together as part of a single system, better trade-offs may be achieved than if the same memories were optimized in isolation. However, challenges such as… ▽ More

    Submitted 20 September, 2021; originally announced September 2021.

    Comments: Accepted as part of ASP-DAC 2022 special session

  15. arXiv:2003.03269  [pdf, other

    cs.OH cs.LG

    Predicting Memory Compiler Performance Outputs using Feed-Forward Neural Networks

    Authors: Felix Last, Max Haeberlein, Ulf Schlichtmann

    Abstract: Typical semiconductor chips include thousands of mostly small memories. As memories contribute an estimated 25% to 40% to the overall power, performance, and area (PPA) of a chip, memories must be designed carefully to meet the system's requirements. Memory arrays are highly uniform and can be described by approximately 10 parameters depending mostly on the complexity of the periphery. Thus, to im… ▽ More

    Submitted 5 March, 2020; originally announced March 2020.

    Comments: 23 pages, 8 figures, 4 tables; accepted for publication in the ACM TODAES special issue on machine learning for CAD (ML-CAD)

    Journal ref: ACM Trans. Des. Autom. Electron. Syst. 25, 5 (2020) 39

  16. TimingCamouflage+: Netlist Security Enhancement with Unconventional Timing (with Appendix)

    Authors: Grace Li Zhang, Bing Li, Meng Li, Bei Yu, David Z. Pan, Michaela Brunner, Georg Sigl, Ulf Schlichtmann

    Abstract: With recent advances in reverse engineering, attackers can reconstruct a netlist to counterfeit chips by opening the die and scanning all layers of authentic chips. This relatively easy counterfeiting is made possible by the use of the standard simple clocking scheme, where all combinational blocks function within one clock period, so that a netlist of combinational logic gates and flip-flops is s… ▽ More

    Submitted 2 March, 2020; originally announced March 2020.

  17. arXiv:1705.04998  [pdf, other

    cs.ET

    Transport or Store? Synthesizing Flow-based Microfluidic Biochips using Distributed Channel Storage

    Authors: Chunfeng Liu, Bing Li, Hailong Yao, Paul Pop, Tsung-Yi Ho, Ulf Schlichtmann

    Abstract: Flow-based microfluidic biochips have attracted much atten- tion in the EDA community due to their miniaturized size and execution efficiency. Previous research, however, still follows the traditional computing model with a dedicated storage unit, which actually becomes a bottleneck of the performance of bio- chips. In this paper, we propose the first architectural synthe- sis framework considerin… ▽ More

    Submitted 14 May, 2017; originally announced May 2017.

    Comments: ACM/IEEE Design Automation Conference (DAC), June 2017

  18. arXiv:1705.04996  [pdf, other

    cs.ET

    Testing Microfluidic Fully Programmable Valve Arrays (FPVAs)

    Authors: Chunfeng Liu, Bing Li, Bhargab B. Bhattacharya, Krishnendu Chakrabarty, Tsung-Yi Ho, Ulf Schlichtmann

    Abstract: Fully Programmable Valve Array (FPVA) has emerged as a new architecture for the next-generation flow-based microfluidic biochips. This 2D-array consists of regularly-arranged valves, which can be dynamically configured by users to realize microfluidic devices of different shapes and sizes as well as interconnections. Additionally, the regularity of the underlying structure renders FPVAs easier to… ▽ More

    Submitted 14 May, 2017; originally announced May 2017.

    Comments: Design, Automation and Test in Europe (DATE), March 2017

  19. Design-Phase Buffer Allocation for Post-Silicon Clock Binning by Iterative Learning

    Authors: Li Zhang, Bing Li, **glan Liu, Yiyu Shi, Ulf Schlichtmann

    Abstract: At submicron manufacturing technology nodes, pro- cess variations affect circuit performance significantly. To counter these variations, engineers are reserving more timing margin to maintain yield, leading to an unaffordable overdesign. Most of these margins, however, are wasted after manufacturing, because process variations cause only some chips to be really slow, while other chips can easily m… ▽ More

    Submitted 14 May, 2017; originally announced May 2017.

    Comments: IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2017

  20. PieceTimer: A Holistic Timing Analysis Framework Considering Setup/Hold Time Interdependency Using A Piecewise Model

    Authors: Grace Li Zhang, Bing Li, Ulf Schlichtmann

    Abstract: In static timing analysis, clock-to-q delays of flip-flops are considered as constants. Setup times and hold times are characterized separately and also used as constants. The characterized delays, setup times and hold times, are ap- plied in timing analysis independently to verify the perfor- mance of circuits. In reality, however, clock-to-q delays of flip-flops depend on both setup and hold tim… ▽ More

    Submitted 14 May, 2017; originally announced May 2017.

    Comments: IEEE/ACM International Conference on Computer-Aided Design (ICCAD), November 2016

  21. EffiTest: Efficient Delay Test and Statistical Prediction for Configuring Post-silicon Tunable Buffers

    Authors: Grace Li Zhang, Bing Li, Ulf Schlichtmann

    Abstract: At nanometer manufacturing technology nodes, process variations significantly affect circuit performance. To combat them, post- silicon clock tuning buffers can be deployed to balance timing bud- gets of critical paths for each individual chip after manufacturing. The challenge of this method is that path delays should be mea- sured for each chip to configure the tuning buffers properly. Current m… ▽ More

    Submitted 14 May, 2017; originally announced May 2017.

    Comments: ACM/IEEE Design Automation Conference (DAC), June 2016

  22. Novel CMOS RFIC Layout Generation with Concurrent Device Placement and Fixed-Length Microstrip Routing

    Authors: Tsun-Ming Tseng, Bing Li, Ching-Feng Yeh, Hsiang-Chieh Jhan, Zuo-Ming Tsai, Mark Po-Hung Lin, Ulf Schlichtmann

    Abstract: With advancing process technologies and booming IoT markets, millimeter-wave CMOS RFICs have been widely developed in re- cent years. Since the performance of CMOS RFICs is very sensi- tive to the precision of the layout, precise placement of devices and precisely matched microstrip lengths to given values have been a labor-intensive and time-consuming task, and thus become a major bottleneck for… ▽ More

    Submitted 14 May, 2017; originally announced May 2017.

    Comments: ACM/IEEE Design Automation Conference (DAC), 2016

  23. Sampling-based Buffer Insertion for Post-Silicon Yield Improvement under Process Variability

    Authors: Grace Li Zhang, Bing Li, Ulf Schlichtmann

    Abstract: At submicron manufacturing technology nodes process variations affect circuit performance significantly. This trend leads to a large timing margin and thus overdesign to maintain yield. To combat this pessimism, post-silicon clock tuning buffers can be inserted into circuits to balance timing budgets of critical paths with their neighbors. After manufacturing, these clock buffers can be configured… ▽ More

    Submitted 14 May, 2017; originally announced May 2017.

    Comments: Design, Automation and Test in Europe (DATE), 2016

  24. Storage and Caching: Synthesis of Flow-based Microfluidic Biochips

    Authors: Tsun-Ming Tseng, Bing Li, Tsung-Yi Ho, Ulf Schlichtmann

    Abstract: Flow-based microfluidic biochips are widely used in lab- on-a-chip experiments. In these chips, devices such as mixers and detectors connected by micro-channels execute specific operations. Intermediate fluid samples are saved in storage temporarily until target devices become avail- able. However, if the storage unit does not have enough capacity, fluid samples must wait in devices, reducing thei… ▽ More

    Submitted 14 May, 2017; originally announced May 2017.

    Comments: IEEE Design and Test, December 2015

  25. Statistical Timing Analysis and Criticality Computation for Circuits with Post-Silicon Clock Tuning Elements

    Authors: Bing Li, Ulf Schlichtmann

    Abstract: Post-silicon clock tuning elements are widely used in high-performance designs to mitigate the effects of process variations and aging. Located on clock paths to flip-flops, these tuning elements can be configured through the scan chain so that clock skews to these flip-flops can be adjusted after man- ufacturing. Owing to the delay compensation across consecutive register stages enabled by the cl… ▽ More

    Submitted 14 May, 2017; originally announced May 2017.

    Comments: IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, November 2015

  26. ILP-based Alleviation of Dense Meander Segments with Prioritized Shifting and Progressive Fixing in PCB Routing

    Authors: Tsun-Ming Tseng, Bing Li, Tsung-Yi Ho, Ulf Schlichtmann

    Abstract: Length-matching is an important technique to bal- ance delays of bus signals in high-performance PCB routing. Existing routers, however, may generate very dense meander segments. Signals propagating along these meander segments exhibit a speedup effect due to crosstalk between the segments of the same wire, thus leading to mismatch of arrival times even under the same physical wire length. In this… ▽ More

    Submitted 14 May, 2017; originally announced May 2017.

    Journal ref: IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 34(6), 1000-1013, June 2015

  27. Post-Route Alleviation of Dense Meander Segments in High-Performance Printed Circuit Boards

    Authors: Tsun-Ming Tseng, Bing Li, Tsung-Yi Ho, Ulf Schlichtmann

    Abstract: Length-matching is an important technique to balance delays of bus signals in high-performance PCB routing. Existing routers, however, may generate dense meander segments with small distance. Signals propagating across these meander segments exhibit a speedup effect due to crosstalks between the segments of the same wire, thus leading to mismatch of arrival times even with the same physical wire l… ▽ More

    Submitted 14 May, 2017; originally announced May 2017.

    Comments: IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2013

  28. Post-Route Refinement for High-Frequency PCBs Considering Meander Segment Alleviation

    Authors: Tsun-Ming, Tseng Bing Li, Tsung-Yi Ho, Ulf Schlichtmann

    Abstract: In this paper, we propose a post-processing framework which iteratively refines the routing results from an existing PCB router by removing dense meander segments. By swap** and detouring dense meander segments the proposed method can effectively alleviate accumulating crosstalk noise, while respecting pre-defined area constraints. Experimental results show more than 85% reduction of the meander… ▽ More

    Submitted 14 May, 2017; originally announced May 2017.

    Comments: ACM Great Lake Symposium on VLSI (GLSVLSI), 2013

  29. On Timing Model Extraction and Hierarchical Statistical Timing Analysis

    Authors: Bing Li, Ning Chen, Yang Xu, Ulf Schlichtmann

    Abstract: In this paper, we investigate the challenges to apply Statistical Static Timing Analysis (SSTA) in hierarchical design flow, where modules supplied by IP vendors are used to hide design details for IP protection and to reduce the complexity of design and verification. For the three basic circuit types, combinational, flip-flop-based and latch-controlled, we propose methods to extract timing models… ▽ More

    Submitted 14 May, 2017; originally announced May 2017.

    Journal ref: IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 32(3), 367-380, March 2013

  30. Statistical Timing Analysis for Latch-Controlled Circuits with Reduced Iterations and Graph Transformations

    Authors: Bing Li, Ning Chen, Ulf Schlichtmann

    Abstract: Level-sensitive latches are widely used in high- performance designs. For such circuits efficient statistical timing analysis algorithms are needed to take increasing process vari- ations into account. But existing methods solving this problem are still computationally expensive and can only provide the yield at a given clock period. In this paper we propose a method combining reduced iterations a… ▽ More

    Submitted 14 May, 2017; originally announced May 2017.

    Journal ref: IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 31(11), 1670-1683, November 2012

  31. Fast Statistical Timing Analysis for Circuits with Post-Silicon Tunable Clock Buffers

    Authors: Bing Li, Ning Chen, Ulf Schlichtmann

    Abstract: Post-Silicon Tunable (PST) clock buffers are widely used in high performance designs to counter process variations. By allowing delay compensation between consecutive register stages, PST buffers can effectively improve the yield of digital circuits. To date, the evaluation of manufacturing yield in the presence of PST buffers is only possible using Monte Carlo simulation. In this paper, we propos… ▽ More

    Submitted 14 May, 2017; originally announced May 2017.

    Comments: IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2011

  32. Timing Model Extraction for Sequential Circuits Considering Process Variations

    Authors: Bing Li, Ning Chen, Ulf Schlichtmann

    Abstract: As semiconductor devices continue to scale down, process vari- ations become more relevant for circuit design. Facing such variations, statistical static timing analysis is introduced to model variations more accurately so that the pessimism in tra- ditional worst case timing analysis is reduced. Because all de- lays are modeled using correlated random variables, most statis- tical timing methods… ▽ More

    Submitted 14 May, 2017; originally announced May 2017.

    Comments: IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2009

  33. On Hierarchical Statistical Static Timing Analysis

    Authors: Bing Li, Ning Chen, Manuel Schmidt, Walter Schneider, Ulf Schlichtmann

    Abstract: Statistical static timing analysis deals with the increasing variations in manufacturing processes to reduce the pessimism in the worst case timing analysis. Because of the correlation between delays of circuit components, timing model generation and hierarchical timing analysis face more challenges than in static timing analysis. In this paper, a novel method to generate timing models for combina… ▽ More

    Submitted 14 May, 2017; originally announced May 2017.

    Comments: Design, Automation and Test in Europe (DATE) 2009

  34. Static Timing Model Extraction for Combinational Circuits

    Authors: Bing Li, Christoph Knoth, Walter Schneider, Manuel Schmidt, Ulf Schlichtmann

    Abstract: For large circuits, static timing analysis (STA) needs to be performed in a hierarchical manner to achieve higher performance in arrival time propagation. In hierarchical STA, efficient and accurate timing models of sub-modules need to be created. We propose a timing model extraction method that significantly reduces the size of timing models without losing any accuracy by removing redundant timin… ▽ More

    Submitted 7 May, 2017; originally announced May 2017.

    Comments: International Workshop on Power and Timing Modeling, Optimization and Simulation (PATMOS), 2008

    MSC Class: 68W35 VLSI algorithms ACM Class: B.7

  35. arXiv:1405.2909  [pdf

    cs.AR

    Emulated ASIC Power and Temperature Monitor System for FPGA Prototy** of an Invasive MPSoC Computing Architecture

    Authors: Elisabeth Glocker, Qingqing Chen, Asheque M. Zaidi, Ulf Schlichtmann, Doris Schmitt-Landsiedel

    Abstract: In this contribution the emulation of an ASIC temperature and power monitoring system (TPMon) for FPGA prototy** is presented and tested to control processor temperatures under different control targets and operating strategies. The approach for emulating the power monitor is based on an instruction-level energy model. For emulating the temperature monitor, a thermal RC model is used. The monito… ▽ More

    Submitted 12 May, 2014; originally announced May 2014.

    Comments: Presented at 1st Workshop on Resource Awareness and Adaptivity in Multi-Core Computing (Racing 2014) (arXiv:1405.2281)

    Report number: Racing/2014/03