Skip to main content

Showing 1–5 of 5 results for author: Meuli, G

Searching in archive cs. Search in all archives.
.
  1. arXiv:2005.00211  [pdf, ps, other

    cs.ET cs.LO quant-ph

    ROS: Resource-constrained Oracle Synthesis for Quantum Computers

    Authors: Giulia Meuli, Mathias Soeken, Martin Roetteler, Giovanni De Micheli

    Abstract: We present a completely automatic synthesis framework for oracle functions, a central part in many quantum algorithms. The proposed framework for resource-constrained oracle synthesis (ROS) is a LUT-based hierarchical method in which every step is specifically tailored to address hardware resource constraints. ROS embeds a LUT mapper designed to simplify the successive synthesis steps, costing e… ▽ More

    Submitted 1 May, 2020; originally announced May 2020.

    Comments: In Proceedings QPL 2019, arXiv:2004.14750

    Journal ref: EPTCS 318, 2020, pp. 119-130

  2. arXiv:2003.08408  [pdf, other

    quant-ph cs.ET cs.PL

    Enabling Accuracy-Aware Quantum Compilers using Symbolic Resource Estimation

    Authors: Giulia Meuli, Mathias Soeken, Martin Roetteler, Thomas Häner

    Abstract: Approximation errors must be taken into account when compiling quantum programs into a low-level gate set. We present a methodology that tracks such errors automatically and then optimizes accuracy parameters to guarantee a specified overall accuracy while aiming to minimize the implementation cost in terms of quantum gates. The core idea of our approach is to extract functions that specify the op… ▽ More

    Submitted 5 January, 2021; v1 submitted 18 March, 2020; originally announced March 2020.

    Comments: 26 pages

    Journal ref: Proc. ACM Program. Lang. 4, OOPSLA, Article 130 (November 2020)

  3. arXiv:1908.01609  [pdf, ps, other

    quant-ph cs.ET

    The Role of Multiplicative Complexity in Compiling Low T-count Oracle Circuits

    Authors: Giulia Meuli, Mathias Soeken, Earl Campbell, Martin Roetteler, Giovanni De Micheli

    Abstract: We present a constructive method to create quantum circuits that implement oracles $|x\rangle|y\rangle|0\rangle^k \mapsto |x\rangle|y \oplus f(x)\rangle|0\rangle^k$ for $n$-variable Boolean functions $f$ with low $T$-count. In our method $f$ is given as a 2-regular Boolean logic network over the gate basis $\{\land, \oplus, 1\}$. Our construction leads to circuits with a $T$-count that is at most… ▽ More

    Submitted 5 August, 2019; originally announced August 2019.

    Comments: 13 pages, 2 tables, 6 figures, To appear in: Proc. Int'l Conf. on Computer-Aided Design (ICCAD 2019)

  4. arXiv:1904.02121  [pdf, ps, other

    quant-ph cs.ET

    Reversible Pebbling Game for Quantum Memory Management

    Authors: Giulia Meuli, Mathias Soeken, Martin Roetteler, Nikolaj Bjorner, Giovanni De Micheli

    Abstract: Quantum memory management is becoming a pressing problem, especially given the recent research effort to develop new and more complex quantum algorithms. The only existing automatic method for quantum states clean-up relies on the availability of many extra resources. In this work, we propose an automatic tool for quantum memory management. We show how this problem exactly matches the reversible p… ▽ More

    Submitted 3 April, 2019; originally announced April 2019.

    Comments: In Proc. Design Automation and Test in Europe (DATE 2019)

  5. arXiv:1805.05121  [pdf, ps, other

    cs.LO cs.MS

    The EPFL Logic Synthesis Libraries

    Authors: Mathias Soeken, Heinz Riener, Winston Haaswijk, Eleonora Testa, Bruno Schmitt, Giulia Meuli, Fereshte Mozafari, Siang-Yun Lee, Alessandro Tempia Calvino, Dewmini Sudara Marakkalage, Giovanni De Micheli

    Abstract: We present a collection of modular open source C++ libraries for the development of logic synthesis applications. These libraries can be used to develop applications for the design of classical and emerging technologies, as well as for the implementation of quantum compilers. All libraries are well documented and well tested. Furthermore, being header-only, the libraries can be readily used as cor… ▽ More

    Submitted 3 June, 2022; v1 submitted 14 May, 2018; originally announced May 2018.

    Comments: 13 pages, originally accepted at Int'l Workshop on Logic & Synthesis 2018, extended for Workshop on Open-Source EDA Technology 2019