Skip to main content

Showing 1–3 of 3 results for author: Hui, C W C

Searching in archive cs. Search in all archives.
.
  1. arXiv:1805.11426  [pdf

    cs.OH

    Standard Cell Library Evaluation with Multiple lithography-compliant verification and Improved Synopsys Pin Access Checking Utility

    Authors: Yongfu Li, Wan Chia Ang, Chin Hui Lee, Kok Peng Chua, Yoong Seang Jonathan Ong, Chiu Wing Colin Hui

    Abstract: While standard cell layouts are drawn with minimum design rules to maximize the benefit of design area shrinkage, the complicated design rules have caused difficulties with signal routes accessing the pins in standard cell layouts. As a result, it has become a great challenge for physical layout designers to design a standard cell layout that is optimized for area, power, timing, signal integrity,… ▽ More

    Submitted 27 May, 2018; originally announced May 2018.

    Comments: Synopsys User Group Singapore (SNUG) 2017. arXiv admin note: substantial text overlap with arXiv:1805.10012, arXiv:1805.10745

  2. arXiv:1805.10745  [pdf

    cs.OH

    Multiple-Lithography-Compliant Verification for Standard Cell Library Development Flow

    Authors: Yongfu Li, Wan Chia Ang, Chin Hui Lee, Kok Peng Chua, Yoong Seang Jonathan Ong, Chiu Wing Colin Hui

    Abstract: Starting from 22-nm, a standard cell must be designed to be full lithography-compliant, which includes Design Rule Check, Design-for-Manufacturability and Double-Patterning compliant. It has become a great challenge for physical layout designers to provide a full lithography-compliant standard cell layout that is optimized for area, power, timing, signal integrity, and yield. This challenge is fur… ▽ More

    Submitted 27 May, 2018; originally announced May 2018.

    Comments: Synopsys User Group Silicon Valley (SNUG) 2017

  3. arXiv:1805.10012  [pdf

    cs.OH

    Constraining the Synopsys Pin Access Checker Utility for Improved Standard Cells Library Verification Flow

    Authors: Yongfu Li, Chin Hui Lee, Wan Chia Ang, Kok Peng Chua, Yoong Seang Jonathan Ong, Chiu Wing Colin Hui

    Abstract: While standard cell layouts are drawn with minimum design rules for maximum benefit of design area shrinkage, the complicated design rules begin to cause difficulties with signal routes accessing the pins in standard cell layouts. Multiple design iterations are required to resolve routing issues, thus increasing the runtime and the overall chip area. To optimize the chip performance, power and are… ▽ More

    Submitted 25 May, 2018; originally announced May 2018.

    Journal ref: Synopsys User Conference (SNUG) Silicon Valley 2017