Skip to main content

Showing 1–50 of 69 results for author: Hassan, H

Searching in archive cs. Search in all archives.
.
  1. arXiv:2407.00541  [pdf

    cs.CL cs.AI cs.IR

    Answering real-world clinical questions using large language model based systems

    Authors: Yen Sia Low, Michael L. Jackson, Rebecca J. Hyde, Robert E. Brown, Neil M. Sanghavi, Julian D. Baldwin, C. William Pike, Jananee Muralidharan, Gavin Hui, Natasha Alexander, Hadeel Hassan, Rahul V. Nene, Morgan Pike, Courtney J. Pokrzywa, Shivam Vedak, Adam Paul Yan, Dong-han Yao, Amy R. Zipursky, Christina Dinh, Philip Ballentine, Dan C. Derieg, Vladimir Polony, Rehan N. Chawdry, Jordan Davies, Brigham B. Hyde , et al. (2 additional authors not shown)

    Abstract: Evidence to guide healthcare decisions is often limited by a lack of relevant and trustworthy literature as well as difficulty in contextualizing existing research for a specific patient. Large language models (LLMs) could potentially address both challenges by either summarizing published literature or generating new studies based on real-world data (RWD). We evaluated the ability of five LLM-bas… ▽ More

    Submitted 29 June, 2024; originally announced July 2024.

    Comments: 28 pages (2 figures, 3 tables) inclusive of 8 pages of supplemental materials (4 supplemental figures and 4 supplemental tables)

  2. arXiv:2405.19332  [pdf, other

    cs.LG cs.AI

    Self-Exploring Language Models: Active Preference Elicitation for Online Alignment

    Authors: Shenao Zhang, Donghan Yu, Hiteshi Sharma, Ziyi Yang, Shuohang Wang, Hany Hassan, Zhaoran Wang

    Abstract: Preference optimization, particularly through Reinforcement Learning from Human Feedback (RLHF), has achieved significant success in aligning Large Language Models (LLMs) to adhere to human intentions. Unlike offline alignment with a fixed dataset, online feedback collection from humans or AI on model generations typically leads to more capable reward models and better-aligned LLMs through an iter… ▽ More

    Submitted 29 May, 2024; originally announced May 2024.

  3. arXiv:2402.15216  [pdf, ps, other

    cs.CV

    Label-efficient Multi-organ Segmentation Method with Diffusion Model

    Authors: Yongzhi Huang, **xin Zhu, Haseeb Hassan, Liyilei Su, **gyu Li, Binding Huang

    Abstract: Accurate segmentation of multiple organs in Computed Tomography (CT) images plays a vital role in computer-aided diagnosis systems. Various supervised-learning approaches have been proposed recently. However, these methods heavily depend on a large amount of high-quality labeled data, which is expensive to obtain in practice. In this study, we present a label-efficient learning approach using a pr… ▽ More

    Submitted 23 February, 2024; originally announced February 2024.

  4. arXiv:2312.05279  [pdf

    eess.IV cs.CV

    Quantitative perfusion maps using a novelty spatiotemporal convolutional neural network

    Authors: Anbo Cao, Pin-Yu Le, Zhonghui Qie, Haseeb Hassan, Yingwei Guo, Asim Zaman, Jiaxi Lu, Xueqiang Zeng, Huihui Yang, Xiaoqiang Miao, Taiyu Han, Guangtao Huang, Yan Kang, Yu Luo, Jia Guo

    Abstract: Dynamic susceptibility contrast magnetic resonance imaging (DSC-MRI) is widely used to evaluate acute ischemic stroke to distinguish salvageable tissue and infarct core. For this purpose, traditional methods employ deconvolution techniques, like singular value decomposition, which are known to be vulnerable to noise, potentially distorting the derived perfusion parameters. However, deep learning t… ▽ More

    Submitted 8 December, 2023; originally announced December 2023.

  5. arXiv:2310.07252  [pdf

    cs.CV cs.LG

    A Comparative Study of Pre-trained CNNs and GRU-Based Attention for Image Caption Generation

    Authors: Rashid Khan, Bingding Huang, Haseeb Hassan, Asim Zaman, Zhongfu Ye

    Abstract: Image captioning is a challenging task involving generating a textual description for an image using computer vision and natural language processing techniques. This paper proposes a deep neural framework for image caption generation using a GRU-based attention mechanism. Our approach employs multiple pre-trained convolutional neural networks as the encoder to extract features from the image and a… ▽ More

    Submitted 11 October, 2023; originally announced October 2023.

    Comments: 15pages, 10 figures, 5 tables. 2023 the 5th International Conference on Robotics and Computer Vision (ICRCV 2023). arXiv admin note: substantial text overlap with arXiv:2203.01594

  6. arXiv:2307.08024  [pdf

    cs.AI

    Bayesian inference for data-efficient, explainable, and safe robotic motion planning: A review

    Authors: Chengmin Zhou, Chao Wang, Haseeb Hassan, Himat Shah, Bingding Huang, Pasi Fränti

    Abstract: Bayesian inference has many advantages in robotic motion planning over four perspectives: The uncertainty quantification of the policy, safety (risk-aware) and optimum guarantees of robot motions, data-efficiency in training of reinforcement learning, and reducing the sim2real gap when the robot is applied to real-world tasks. However, the application of Bayesian inference in robotic motion planni… ▽ More

    Submitted 16 July, 2023; originally announced July 2023.

  7. arXiv:2307.06300  [pdf, other

    cs.RO

    A Comparative Analysis Between the Additive and the Multiplicative Extended Kalman Filter for Satellite Attitude Determination

    Authors: Hamza A. Hassan, William Tolstrup, Johanes P. Suriana, Ibrahim D. Kiziloklu

    Abstract: The general consensus is that the Multiplicative Extended Kalman Filter (MEKF) is superior to the Additive Extended Kalman Filter (AEKF) based on a wealth of theoretical evidence. This paper deals with a practical comparison between the two filters in simulation with the goal of verifying if the previous theoretical foundations are true. The AEKF and MEKF are two variants of the Extended Kalman Fi… ▽ More

    Submitted 13 July, 2023; v1 submitted 12 July, 2023; originally announced July 2023.

  8. arXiv:2305.19835  [pdf, ps, other

    cs.CL cs.AI

    Deliberate then Generate: Enhanced Prompting Framework for Text Generation

    Authors: Bei Li, Rui Wang, Junliang Guo, Kaitao Song, Xu Tan, Hany Hassan, Arul Menezes, Tong Xiao, Jiang Bian, **gBo Zhu

    Abstract: Large language models (LLMs) have shown remarkable success across a wide range of natural language generation tasks, where proper prompt designs make great impacts. While existing prompting methods are normally restricted to providing correct information, in this paper, we encourage the model to deliberate by proposing a novel Deliberate then Generate (DTG) prompting framework, which consists of e… ▽ More

    Submitted 31 May, 2023; originally announced May 2023.

  9. arXiv:2303.07445  [pdf, other

    cs.AR cs.CR

    Improving DRAM Performance, Reliability, and Security by Rigorously Understanding Intrinsic DRAM Operation

    Authors: Hasan Hassan

    Abstract: DRAM is the primary technology used for main memory in modern systems. Unfortunately, as DRAM scales down to smaller technology nodes, it faces key challenges in both data integrity and latency, which strongly affect overall system reliability, security, and performance. To develop reliable, secure, and high-performance DRAM-based main memory for future systems, it is critical to rigorously charac… ▽ More

    Submitted 13 March, 2023; originally announced March 2023.

    Comments: Doctoral thesis

  10. arXiv:2211.05838  [pdf, other

    cs.AR cs.CR

    DRAM Bender: An Extensible and Versatile FPGA-based Infrastructure to Easily Test State-of-the-art DRAM Chips

    Authors: Ataberk Olgun, Hasan Hassan, A. Giray Yağlıkçı, Yahya Can Tuğrul, Lois Orosa, Haocong Luo, Minesh Patel, Oğuz Ergin, Onur Mutlu

    Abstract: To understand and improve DRAM performance, reliability, security and energy efficiency, prior works study characteristics of commodity DRAM chips. Unfortunately, state-of-the-art open source infrastructures capable of conducting such studies are obsolete, poorly supported, or difficult to use, or their inflexibility limit the types of studies they can conduct. We propose DRAM Bender, a new FPGA… ▽ More

    Submitted 12 September, 2023; v1 submitted 10 November, 2022; originally announced November 2022.

    Comments: Extended version of paper that is to appear in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD)

  11. arXiv:2209.10198  [pdf, other

    cs.AR cs.CR

    HiRA: Hidden Row Activation for Reducing Refresh Latency of Off-the-Shelf DRAM Chips

    Authors: Abdullah Giray Yağlıkçı, Ataberk Olgun, Minesh Patel, Haocong Luo, Hasan Hassan, Lois Orosa, Oğuz Ergin, Onur Mutlu

    Abstract: DRAM is the building block of modern main memory systems. DRAM cells must be periodically refreshed to prevent data loss. Refresh operations degrade system performance by interfering with memory accesses. As DRAM chip density increases with technology node scaling, refresh operations also increase because: 1) the number of DRAM rows in a chip increases; and 2) DRAM cells need additional refresh op… ▽ More

    Submitted 21 September, 2022; originally announced September 2022.

    Comments: To appear in the 55th IEEE/ACM International Symposium on Microarchitecture (MICRO), 2022

  12. arXiv:2209.08939  [pdf, other

    eess.IV cs.CV

    3D Cross-Pseudo Supervision (3D-CPS): A semi-supervised nnU-Net architecture for abdominal organ segmentation

    Authors: Yongzhi Huang, Hanwen Zhang, Yan Yan, Haseeb Hassan

    Abstract: Large curated datasets are necessary, but annotating medical images is a time-consuming, laborious, and expensive process. Therefore, recent supervised methods are focusing on utilizing a large amount of unlabeled data. However, to do so, is a challenging task. To address this problem, we propose a new 3D Cross-Pseudo Supervision (3D-CPS) method, a semi-supervised network architecture based on nnU… ▽ More

    Submitted 1 November, 2022; v1 submitted 19 September, 2022; originally announced September 2022.

    Comments: 14 pages, 5 figures

  13. arXiv:2208.10993  [pdf, other

    cs.LG

    Application of federated learning techniques for arrhythmia classification using 12-lead ECG signals

    Authors: Daniel Mauricio Jimenez Gutierrez, Hafiz Muuhammad Hassan, Lorella Landi, Andrea Vitaletti, Ioannis Chatzigiannakis

    Abstract: Artificial Intelligence-based (AI) analysis of large, curated medical datasets is promising for providing early detection, faster diagnosis, and more effective treatment using low-power Electrocardiography (ECG) monitoring devices information. However, accessing sensitive medical data from diverse sources is highly restricted since improper use, unsafe storage, or data leakage could violate a pers… ▽ More

    Submitted 5 January, 2024; v1 submitted 23 August, 2022; originally announced August 2022.

    Comments: Preprint of International Symposium on Algorithmic Aspects of Cloud Computing (ALGOCLOUD) 2023

  14. arXiv:2207.13795  [pdf, other

    cs.AR

    Sectored DRAM: A Practical Energy-Efficient and High-Performance Fine-Grained DRAM Architecture

    Authors: Ataberk Olgun, F. Nisa Bostanci, Geraldo F. Oliveira, Yahya Can Tugrul, Rahul Bera, A. Giray Yaglikci, Hasan Hassan, Oguz Ergin, Onur Mutlu

    Abstract: We propose Sectored DRAM, a new, low-overhead DRAM substrate that reduces wasted energy by enabling fine-grained DRAM data transfers and DRAM row activation. Sectored DRAM leverages two key ideas to enable fine-grained data transfers and row activation at low chip area cost. First, a cache block transfer between main memory and the memory controller happens in a fixed number of clock cycles where… ▽ More

    Submitted 9 June, 2024; v1 submitted 27 July, 2022; originally announced July 2022.

    Comments: Extended version of paper that is to appear in ACM Transactions on Architecture and Code Optimization (ACM TACO)

  15. arXiv:2207.13358  [pdf, other

    cs.AR cs.CR

    Self-Managing DRAM: A Low-Cost Framework for Enabling Autonomous and Efficient in-DRAM Operations

    Authors: Hasan Hassan, Ataberk Olgun, A. Giray Yaglikci, Haocong Luo, Onur Mutlu

    Abstract: The memory controller is in charge of managing DRAM maintenance operations (e.g., refresh, RowHammer protection, memory scrubbing) in current DRAM chips. Implementing new maintenance operations often necessitates modifications in the DRAM interface, memory controller, and potentially other system components. Such modifications are only possible with a new DRAM standard, which takes a long time to… ▽ More

    Submitted 22 April, 2024; v1 submitted 27 July, 2022; originally announced July 2022.

  16. arXiv:2206.09999  [pdf, other

    cs.AR cs.CR

    Understanding RowHammer Under Reduced Wordline Voltage: An Experimental Study Using Real DRAM Devices

    Authors: A. Giray Yağlıkçı, Haocong Luo, Geraldo F. de Oliviera, Ataberk Olgun, Minesh Patel, Jisung Park, Hasan Hassan, Jeremie S. Kim, Lois Orosa, Onur Mutlu

    Abstract: RowHammer is a circuit-level DRAM vulnerability, where repeatedly activating and precharging a DRAM row, and thus alternating the voltage of a row's wordline between low and high voltage levels, can cause bit flips in physically nearby rows. Recent DRAM chips are more vulnerable to RowHammer: with technology node scaling, the minimum number of activate-precharge cycles to induce a RowHammer bit fl… ▽ More

    Submitted 20 June, 2022; originally announced June 2022.

    Comments: To appear in DSN 2022

  17. arXiv:2206.00263  [pdf, other

    cs.AR

    PiDRAM: An FPGA-based Framework for End-to-end Evaluation of Processing-in-DRAM Techniques

    Authors: Ataberk Olgun, Juan Gomez Luna, Konstantinos Kanellopoulos, Behzad Salami, Hasan Hassan, Oguz Ergin, Onur Mutlu

    Abstract: DRAM-based main memory is used in nearly all computing systems as a major component. One way of overcoming the main memory bottleneck is to move computation near memory, a paradigm known as processing-in-memory (PiM). Recent PiM techniques provide a promising way to improve the performance and energy efficiency of existing and future systems at no additional DRAM hardware cost. We develop the Pr… ▽ More

    Submitted 1 June, 2022; originally announced June 2022.

    Comments: To appear in ISVLSI 2022 Special Session on Processing in Memory. arXiv admin note: text overlap with arXiv:2111.00082

  18. arXiv:2201.01385  [pdf, other

    cs.AR

    DR-STRaNGe: End-to-End System Design for DRAM-based True Random Number Generators

    Authors: F. Nisa Bostancı, Ataberk Olgun, Lois Orosa, A. Giray Yağlıkçı, Jeremie S. Kim, Hasan Hassan, Oğuz Ergin, Onur Mutlu

    Abstract: Random number generation is an important task in a wide variety of critical applications including cryptographic algorithms, scientific simulations, and industrial testing tools. True Random Number Generators (TRNGs) produce truly random data by sampling a physical entropy source that typically requires custom hardware and suffers from long latency. To enable high-bandwidth and low-latency TRNGs o… ▽ More

    Submitted 6 June, 2022; v1 submitted 4 January, 2022; originally announced January 2022.

  19. arXiv:2111.00082  [pdf, other

    cs.AR

    PiDRAM: A Holistic End-to-end FPGA-based Framework for Processing-in-DRAM

    Authors: Ataberk Olgun, Juan Gómez Luna, Konstantinos Kanellopoulos, Behzad Salami, Hasan Hassan, Oğuz Ergin, Onur Mutlu

    Abstract: Processing-using-memory (PuM) techniques leverage the analog operation of memory cells to perform computation. Several recent works have demonstrated PuM techniques in off-the-shelf DRAM devices. Since DRAM is the dominant memory technology as main memory in current computing systems, these PuM techniques represent an opportunity for alleviating the data movement bottleneck at very low cost. Howev… ▽ More

    Submitted 4 September, 2023; v1 submitted 29 October, 2021; originally announced November 2021.

    Comments: To appear in ACM Transactions on Architecture and Code Optimization

  20. arXiv:2110.10603  [pdf, other

    cs.CR cs.AR

    Uncovering In-DRAM RowHammer Protection Mechanisms: A New Methodology, Custom RowHammer Patterns, and Implications

    Authors: Hasan Hassan, Yahya Can Tugrul, Jeremie S. Kim, Victor van der Veen, Kaveh Razavi, Onur Mutlu

    Abstract: The RowHammer vulnerability in DRAM is a critical threat to system security. To protect against RowHammer, vendors commit to security-through-obscurity: modern DRAM chips rely on undocumented, proprietary, on-die mitigations, commonly known as Target Row Refresh (TRR). At a high level, TRR detects and refreshes potential RowHammer-victim rows, but its exact implementations are not openly disclosed… ▽ More

    Submitted 22 October, 2022; v1 submitted 20 October, 2021; originally announced October 2021.

    Comments: This work is to appear at the 54th IEEE/ACM International Symposium on Microarchitecture (MICRO 2021)

  21. A Deeper Look into RowHammer`s Sensitivities: Experimental Analysis of Real DRAM Chips and Implications on Future Attacks and Defenses

    Authors: Lois Orosa, Abdullah Giray Yağlıkçı, Haocong Luo, Ataberk Olgun, Jisung Park, Hasan Hassan, Minesh Patel, Jeremie S. Kim, Onur Mutlu

    Abstract: RowHammer is a circuit-level DRAM vulnerability where repeatedly accessing (i.e., hammering) a DRAM row can cause bit flips in physically nearby rows. The RowHammer vulnerability worsens as DRAM cell size and cell-to-cell spacing shrink. Recent studies demonstrate that modern DRAM chips, including chips previously marketed as RowHammer-safe, are even more vulnerable to RowHammer than older chips s… ▽ More

    Submitted 19 October, 2021; originally announced October 2021.

    Comments: A shorter version of this work is to appear at the 54th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-54), 2021

  22. arXiv:2110.04260  [pdf, other

    cs.CL cs.LG

    Taming Sparsely Activated Transformer with Stochastic Experts

    Authors: Simiao Zuo, Xiaodong Liu, Jian Jiao, Young ** Kim, Hany Hassan, Ruofei Zhang, Tuo Zhao, Jianfeng Gao

    Abstract: Sparsely activated models (SAMs), such as Mixture-of-Experts (MoE), can easily scale to have outrageously large amounts of parameters without significant increase in computational cost. However, SAMs are reported to be parameter inefficient such that larger models do not always lead to better performance. While most on-going research focuses on improving SAMs models by exploring methods of routing… ▽ More

    Submitted 3 February, 2022; v1 submitted 8 October, 2021; originally announced October 2021.

    Comments: ICLR 2022

  23. arXiv:2109.04778  [pdf, other

    cs.CL cs.AI

    Improving Multilingual Translation by Representation and Gradient Regularization

    Authors: Yilin Yang, Akiko Eriguchi, Alexandre Muzio, Prasad Tadepalli, Stefan Lee, Hany Hassan

    Abstract: Multilingual Neural Machine Translation (NMT) enables one model to serve all translation directions, including ones that are unseen during training, i.e. zero-shot translation. Despite being theoretically attractive, current models often produce low quality translations -- commonly failing to even produce outputs in the right target language. In this work, we observe that off-target translation is… ▽ More

    Submitted 18 January, 2022; v1 submitted 10 September, 2021; originally announced September 2021.

    Comments: EMNLP 2021 (Oral). Code and data: https://github.com/yilinyang7/fairseq_multi_fix

  24. arXiv:2109.00271  [pdf, other

    cs.CL

    Discovering Representation Sprachbund For Multilingual Pre-Training

    Authors: Yimin Fan, Yaobo Liang, Alexandre Muzio, Hany Hassan, Houqiang Li, Ming Zhou, Nan Duan

    Abstract: Multilingual pre-trained models have demonstrated their effectiveness in many multilingual NLP tasks and enabled zero-shot or few-shot transfer from high-resource languages to low resource ones. However, due to significant typological differences and contradictions between some languages, such models usually perform poorly on many languages and cross-lingual settings, which shows the difficulty of… ▽ More

    Submitted 1 September, 2021; originally announced September 2021.

    Comments: To Appear at the Findings of EMNLP2021

  25. arXiv:2106.05632  [pdf, other

    cs.AR cs.CR

    CODIC: A Low-Cost Substrate for Enabling Custom In-DRAM Functionalities and Optimizations

    Authors: Lois Orosa, Yaohua Wang, Mohammad Sadrosadati, Jeremie S. Kim, Minesh Patel, Ivan Puddu, Haocong Luo, Kaveh Razavi, Juan Gómez-Luna, Hasan Hassan, Nika Mansouri-Ghiasi, Saugata Ghose, Onur Mutlu

    Abstract: DRAM is the dominant main memory technology used in modern computing systems. Computing systems implement a memory controller that interfaces with DRAM via DRAM commands. DRAM executes the given commands using internal components (e.g., access transistors, sense amplifiers) that are orchestrated by DRAM internal timings, which are fixed foreach DRAM command. Unfortunately, the use of fixed interna… ▽ More

    Submitted 10 June, 2021; originally announced June 2021.

    Comments: Extended version of an ISCA 2021 paper

    ACM Class: B.3; K.6.5

  26. arXiv:2106.04575  [pdf, other

    cs.CR

    DNS attack mitigation Using OpenStack Isolation

    Authors: Hassnain ul hassan, Rizal Mohd Nor, Md Amiruzzaman, Sharyar Wani, Md. Rajibul Islam

    Abstract: The Domain Name System (DNS) is essential for the Internet, giving a mechanism to resolve hostnames into Internet Protocol (IP) addresses. DNS is known as the world's largest distributed database that manages hostnames and Internet Protocol. By having the DNS, only simple names that can be easily memorized will be used and then the domain name system will map it into the numeric Internet Protocol… ▽ More

    Submitted 28 August, 2021; v1 submitted 8 June, 2021; originally announced June 2021.

    Comments: 6 pages, 3 figures, and 2 tables

  27. arXiv:2105.08123  [pdf, other

    cs.AR

    MetaSys: A Practical Open-Source Metadata Management System to Implement and Evaluate Cross-Layer Optimizations

    Authors: Nandita Vijaykumar, Ataberk Olgun, Konstantinos Kanellopoulos, Nisa Bostancı, Hasan Hassan, Mehrshad Lotfi, Phillip B. Gibbons, Onur Mutlu

    Abstract: This paper introduces the first open-source FPGA-based infrastructure, MetaSys, with a prototype in a RISC-V core, to enable the rapid implementation and evaluation of a wide range of cross-layer techniques in real hardware. Hardware-software cooperative techniques are powerful approaches to improve the performance, quality of service, and security of general-purpose processors. They are however t… ▽ More

    Submitted 21 January, 2023; v1 submitted 17 May, 2021; originally announced May 2021.

    Comments: A shorter version of this work is to appear at the ACM Transactions on Architecture and Code Optimization (TACO). 27 pages, 15 figures

  28. arXiv:2104.06591  [pdf, other

    cs.CL

    Zero-Resource Multi-Dialectal Arabic Natural Language Understanding

    Authors: Muhammad Khalifa, Hesham Hassan, Aly Fahmy

    Abstract: A reasonable amount of annotated data is required for fine-tuning pre-trained language models (PLM) on downstream tasks. However, obtaining labeled examples for different language varieties can be costly. In this paper, we investigate the zero-shot performance on Dialectal Arabic (DA) when fine-tuning a PLM on modern standard Arabic (MSA) data only -- identifying a significant performance drop whe… ▽ More

    Submitted 25 May, 2022; v1 submitted 13 April, 2021; originally announced April 2021.

    Comments: arXiv admin note: substantial text overlap with arXiv:2101.04758

  29. BlockHammer: Preventing RowHammer at Low Cost by Blacklisting Rapidly-Accessed DRAM Rows

    Authors: Abdullah Giray Yağlıkçı, Minesh Patel, Jeremie S. Kim, Roknoddin Azizi, Ataberk Olgun, Lois Orosa, Hasan Hassan, Jisung Park, Konstantinos Kanellopoulos, Taha Shahroodi, Saugata Ghose, Onur Mutlu

    Abstract: Aggressive memory density scaling causes modern DRAM devices to suffer from RowHammer, a phenomenon where rapidly activating a DRAM row can cause bit-flips in physically-nearby rows. Recent studies demonstrate that modern DRAM chips, including chips previously marketed as RowHammer-safe, are even more vulnerable to RowHammer than older chips. Many works show that attackers can exploit RowHammer bi… ▽ More

    Submitted 29 July, 2022; v1 submitted 11 February, 2021; originally announced February 2021.

    Comments: A shorter version of this work is to appear at the 27th IEEE International Symposium on High-Performance Computer Architecture (HPCA-27), 2021

  30. arXiv:2009.07985  [pdf, other

    cs.AR

    Bit-Exact ECC Recovery (BEER): Determining DRAM On-Die ECC Functions by Exploiting DRAM Data Retention Characteristics

    Authors: Minesh Patel, Jeremie S. Kim, Taha Shahroodi, Hasan Hassan, Onur Mutlu

    Abstract: Increasing single-cell DRAM error rates have pushed DRAM manufacturers to adopt on-die error-correction coding (ECC), which operates entirely within a DRAM chip to improve factory yield. The on-die ECC function and its effects on DRAM reliability are considered trade secrets, so only the manufacturer knows precisely how on-die ECC alters the externally-visible reliability characteristics. Conseque… ▽ More

    Submitted 16 September, 2020; originally announced September 2020.

    Comments: To appear in the MICRO 2020 conference proceedings

  31. arXiv:2007.10987  [pdf, other

    cs.LG cs.CR cs.DC

    IBM Federated Learning: an Enterprise Framework White Paper V0.1

    Authors: Heiko Ludwig, Nathalie Baracaldo, Gegi Thomas, Yi Zhou, Ali Anwar, Shashank Rajamoni, Yuya Ong, Jayaram Radhakrishnan, Ashish Verma, Mathieu Sinn, Mark Purcell, Ambrish Rawat, Tran Minh, Naoise Holohan, Supriyo Chakraborty, Shalisha Whitherspoon, Dean Steuer, Laura Wynter, Hifaz Hassan, Sean Laguna, Mikhail Yurochkin, Mayank Agarwal, Ebube Chuba, Annie Abay

    Abstract: Federated Learning (FL) is an approach to conduct machine learning without centralizing training data in a single place, for reasons of privacy, confidentiality or data volume. However, solving federated machine learning problems raises issues above and beyond those of centralized machine learning. These issues include setting up communication infrastructure between parties, coordinating the learn… ▽ More

    Submitted 22 July, 2020; originally announced July 2020.

    Comments: 17 pages

    ACM Class: I.2.6; I.2.11

  32. arXiv:2005.13121  [pdf, other

    cs.AR cs.CR

    Revisiting RowHammer: An Experimental Analysis of Modern DRAM Devices and Mitigation Techniques

    Authors: Jeremie S. Kim, Minesh Patel, A. Giray Yaglikci, Hasan Hassan, Roknoddin Azizi, Lois Orosa, Onur Mutlu

    Abstract: In order to shed more light on how RowHammer affects modern and future devices at the circuit-level, we first present an experimental characterization of RowHammer on 1580 DRAM chips (408x DDR3, 652x DDR4, and 520x LPDDR4) from 300 DRAM modules (60x DDR3, 110x DDR4, and 130x LPDDR4) with RowHammer protection mechanisms disabled, spanning multiple different technology nodes from across each of the… ▽ More

    Submitted 29 May, 2020; v1 submitted 26 May, 2020; originally announced May 2020.

  33. arXiv:2005.12775  [pdf, other

    cs.AR

    CLR-DRAM: A Low-Cost DRAM Architecture Enabling Dynamic Capacity-Latency Trade-Off

    Authors: Haocong Luo, Taha Shahroodi, Hasan Hassan, Minesh Patel, Abdullah Giray Yaglikci, Lois Orosa, Jisung Park, Onur Mutlu

    Abstract: DRAM is the prevalent main memory technology, but its long access latency can limit the performance of many workloads. Although prior works provide DRAM designs that reduce DRAM access latency, their reduced storage capacities hinder the performance of workloads that need large memory capacity. Because the capacity-latency trade-off is fixed at design time, previous works cannot achieve maximum pe… ▽ More

    Submitted 26 May, 2020; originally announced May 2020.

    Comments: This work is to appear at ISCA 2020

  34. An Adaptive Diffserv Approach To Support QoS In Network Mobility Nemo Environment

    Authors: Loay F. Hussein, Aisha-Hassan Abdalla Hashim, Mohamed Hadi Habaebi, Wan Haslina Hassan

    Abstract: Network mobility basic support (NEMO BS) protocol (RFC 3963) is an extension of Mobile IPv6. The NEMO BS embraced by the IETF working group to permit any node in the portable network to be accessible to the Internet despite the fact the network itself is roaming. This protocol likewise Mobile IPv6 does not deliver any kind of Quality of Service (QoS) guarantees to its clients. It can barely offer… ▽ More

    Submitted 4 May, 2020; originally announced May 2020.

    Comments: 26 pages, 16 figures

  35. arXiv:2004.02745  [pdf, other

    cs.CL

    Meta-Learning for Few-Shot NMT Adaptation

    Authors: Amr Sharaf, Hany Hassan, Hal Daumé III

    Abstract: We present META-MT, a meta-learning approach to adapt Neural Machine Translation (NMT) systems in a few-shot setting. META-MT provides a new approach to make NMT models easily adaptable to many target domains with the minimal amount of in-domain data. We frame the adaptation of NMT systems as a meta-learning problem, where we learn to adapt to new unseen domains based on simulated offline meta-tra… ▽ More

    Submitted 6 April, 2020; originally announced April 2020.

  36. arXiv:2004.01807  [pdf, other

    cs.CR

    TRRespass: Exploiting the Many Sides of Target Row Refresh

    Authors: Pietro Frigo, Emanuele Vannacci, Hasan Hassan, Victor van der Veen, Onur Mutlu, Cristiano Giuffrida, Herbert Bos, Kaveh Razavi

    Abstract: After a plethora of high-profile RowHammer attacks, CPU and DRAM vendors scrambled to deliver what was meant to be the definitive hardware solution against the RowHammer problem: Target Row Refresh (TRR). A common belief among practitioners is that, for the latest generation of DDR4 systems that are protected by TRR, RowHammer is no longer an issue in practice. However, in reality, very little is… ▽ More

    Submitted 3 April, 2020; originally announced April 2020.

    Comments: 16 pages, 16 figures, in proceedings IEEE S&P 2020

    ACM Class: B.8.1

  37. arXiv:1910.06672  [pdf, other

    cs.AR

    Refresh Triggered Computation: Improving the Energy Efficiency of Convolutional Neural Network Accelerators

    Authors: Syed M. A. H. Jafri, Hasan Hassan, Ahmed Hemani, Onur Mutlu

    Abstract: To employ a Convolutional Neural Network (CNN) in an energy-constrained embedded system, it is critical for the CNN implementation to be highly energy efficient. Many recent studies propose CNN accelerator architectures with custom computation units that try to improve energy-efficiency and performance of CNNs by minimizing data transfers from DRAM-based main memory. However, in these architecture… ▽ More

    Submitted 7 October, 2020; v1 submitted 15 October, 2019; originally announced October 2019.

  38. arXiv:1902.07344  [pdf, other

    cs.CR

    Dataplant: Enhancing System Security with Low-Cost In-DRAM Value Generation Primitives

    Authors: Lois Orosa, Yaohua Wang, Ivan Puddu, Mohammad Sadrosadati, Kaveh Razavi, Juan Gómez-Luna, Hasan Hassan, Nika Mansouri-Ghiasi, Arash Tavakkol, Minesh Patel, Jeremie Kim, Vivek Seshadri, Uksong Kang, Saugata Ghose, Rodolfo Azevedo, Onur Mutlu

    Abstract: DRAM manufacturers have been prioritizing memory capacity, yield, and bandwidth for years, while trying to keep the design complexity as simple as possible. DRAM chips do not carry out any computation or other important functions, such as security. Processors implement most of the existing security mechanisms that protect the system against security threats, because 1) executing security mechanism… ▽ More

    Submitted 5 November, 2019; v1 submitted 19 February, 2019; originally announced February 2019.

  39. arXiv:1810.09929  [pdf

    eess.SP cs.RO eess.SY

    Teleoperated Robotic Arm Movement Using EMG Signal With Wearable MYO Armband

    Authors: Hussein F. Hassan, Sadiq J. Abou-Loukh, Ibraheem Kasim Ibraheem

    Abstract: The main purpose of this research is to move the robotic arm (5DoF) in real-time, based on the surface Electromyography (sEMG) signals, as obtained from the wireless Myo gesture armband to distinguish seven hand movements. The sEMG signals are biomedical signals that estimate and record the electrical signals produced in muscles through their contraction and relaxation, representing neuromuscular… ▽ More

    Submitted 3 October, 2018; originally announced October 2018.

  40. arXiv:1810.09360  [pdf, other

    cs.DC

    Enabling Efficient RDMA-based Synchronous Mirroring of Persistent Memory Transactions

    Authors: Arash Tavakkol, Aasheesh Kolli, Stanko Novakovic, Kaveh Razavi, Juan Gomez-Luna, Hasan Hassan, Claude Barthels, Yaohua Wang, Mohammad Sadrosadati, Saugata Ghose, Ankit Singla, Pratap Subrahmanyam, Onur Mutlu

    Abstract: Synchronous Mirroring (SM) is a standard approach to building highly-available and fault-tolerant enterprise storage systems. SM ensures strong data consistency by maintaining multiple exact data replicas and synchronously propagating every update to all of them. Such strong consistency provides fault tolerance guarantees and a simple programming model coveted by enterprise system designers. For c… ▽ More

    Submitted 22 October, 2018; originally announced October 2018.

  41. arXiv:1810.03552  [pdf, other

    cs.CL cs.LG

    Multi-Source Cross-Lingual Model Transfer: Learning What to Share

    Authors: Xilun Chen, Ahmed Hassan Awadallah, Hany Hassan, Wei Wang, Claire Cardie

    Abstract: Modern NLP applications have enjoyed a great boost utilizing neural networks models. Such deep neural models, however, are not applicable to most human languages due to the lack of annotated training data for various NLP tasks. Cross-lingual transfer learning (CLTL) is a viable method for building NLP models for a low-resource target language by leveraging labeled data from other (source) language… ▽ More

    Submitted 5 June, 2019; v1 submitted 8 October, 2018; originally announced October 2018.

    Comments: ACL 2019

  42. arXiv:1809.07858  [pdf

    cs.CE cs.DS q-bio.GN

    Shouji: A Fast and Efficient Pre-Alignment Filter for Sequence Alignment

    Authors: Mohammed Alser, Hasan Hassan, Akash Kumar, Onur Mutlu, Can Alkan

    Abstract: Motivation: The ability to generate massive amounts of sequencing data continues to overwhelm the processing capability of existing algorithms and compute infrastructures. In this work, we explore the use of hardware/software co-design and hardware acceleration to significantly reduce the execution time of short sequence alignment, a crucial step in analyzing sequenced genomes. We introduce Shouji… ▽ More

    Submitted 15 April, 2019; v1 submitted 18 September, 2018; originally announced September 2018.

    Comments: https://academic.oup.com/bioinformatics/advance-article-abstract/doi/10.1093/bioinformatics/btz234/5421509, Bioinformatics Journal 2019

    Journal ref: Bioinformatics, Nov 1; 35 (21): 4255 - 4263, 2019

  43. arXiv:1808.04286  [pdf, other

    cs.AR

    D-RaNGe: Using Commodity DRAM Devices to Generate True Random Numbers with Low Latency and High Throughput

    Authors: Jeremie S. Kim, Minesh Patel, Hasan Hassan, Lois Orosa, Onur Mutlu

    Abstract: We propose a new DRAM-based true random number generator (TRNG) that leverages DRAM cells as an entropy source. The key idea is to intentionally violate the DRAM access timing parameters and use the resulting errors as the source of randomness. Our technique specifically decreases the DRAM row activation latency (timing parameter tRCD) below manufacturer-recommended specifications, to induce read… ▽ More

    Submitted 25 December, 2018; v1 submitted 13 August, 2018; originally announced August 2018.

    Comments: An earlier version was submitted to and reviewed by the International Symposium on Microarchitecture (51) 2018, with a submission deadline on April 6th, 2018

  44. arXiv:1807.05102  [pdf, other

    cs.AR

    What Your DRAM Power Models Are Not Telling You: Lessons from a Detailed Experimental Study

    Authors: Saugata Ghose, Abdullah Giray Yağlıkçı, Raghav Gupta, Donghyuk Lee, Kais Kudrolli, William X. Liu, Hasan Hassan, Kevin K. Chang, Niladrish Chatterjee, Aditya Agrawal, Mike O'Connor, Onur Mutlu

    Abstract: Main memory (DRAM) consumes as much as half of the total system power in a computer today, resulting in a growing need to develop new DRAM architectures and systems that consume less power. Researchers have long relied on DRAM power models that are based off of standardized current measurements provided by vendors, called IDD values. Unfortunately, we find that these models are highly inaccurate,… ▽ More

    Submitted 13 July, 2018; originally announced July 2018.

    Comments: presented at SIGMETRICS 2018

  45. Test for penetration in Wi-Fi network: attacks on WPA2-PSK and WPA2-Enterprise

    Authors: Tamara Radivilova, Hassan Ali Hassan

    Abstract: In this work the wireless networks security algorithms were analyzed. The fundamentals of the WPA and WPA2 safety algorithms, their weaknesses and ways of attacking WPA and WPA2 Enterprise Wireless Networks are described. Successful attack on the WPA2-PSK and WPA2-Enterprise was carried out during the performance of work. The progress of this attack and its results were described.

    Submitted 17 May, 2018; originally announced May 2018.

    Comments: 4 pages

    ACM Class: D.4.6; E.3; C.2

    Journal ref: T. Radivilova and H. A. Hassan, "Test for penetration in Wi-Fi network: Attacks on WPA2-PSK and WPA2-enterprise," (UkrMiCo), Odessa, 2017, pp. 1-4

  46. arXiv:1805.03969  [pdf, other

    cs.AR

    Exploiting Row-Level Temporal Locality in DRAM to Reduce the Memory Access Latency

    Authors: Hasan Hassan, Gennady Pekhimenko, Nandita Vijaykumar, Vivek Seshadri, Donghyuk Lee, Oguz Ergin, Onur Mutlu

    Abstract: This paper summarizes the idea of ChargeCache, which was published in HPCA 2016 [51], and examines the work's significance and future potential. DRAM latency continues to be a critical bottleneck for system performance. In this work, we develop a low-cost mechanism, called ChargeCache, that enables faster access to recently-accessed rows in DRAM, with no modifications to DRAM chips. Our mechanism… ▽ More

    Submitted 8 May, 2018; originally announced May 2018.

    Comments: arXiv admin note: substantial text overlap with arXiv:1609.07234

  47. arXiv:1805.03195  [pdf, other

    cs.AR

    SoftMC: Practical DRAM Characterization Using an FPGA-Based Infrastructure

    Authors: Hasan Hassan, Nandita Vijaykumar, Samira Khan, Saugata Ghose, Kevin Chang, Gennady Pekhimenko, Donghyuk Lee, Oguz Ergin, Onur Mutlu

    Abstract: This paper summarizes the SoftMC DRAM characterization infrastructure, which was published in HPCA 2017, and examines the work's significance and future potential. SoftMC (Soft Memory Controller) is the first publicly-available DRAM testing infrastructure that can flexibly and efficiently test DRAM chips in a manner accessible to both software and hardware developers. SoftMC is an FPGA-based tes… ▽ More

    Submitted 8 May, 2018; originally announced May 2018.

  48. arXiv:1805.03175  [pdf, other

    cs.AR

    Voltron: Understanding and Exploiting the Voltage-Latency-Reliability Trade-Offs in Modern DRAM Chips to Improve Energy Efficiency

    Authors: Kevin K. Chang, Abdullah Giray Yaglıkçı, Saugata Ghose, Aditya Agrawal, Niladrish Chatterjee, Abhijith Kashyap, Donghyuk Lee, Mike O'Connor, Hasan Hassan, Onur Mutlu

    Abstract: This paper summarizes our work on experimental characterization and analysis of reduced-voltage operation in modern DRAM chips, which was published in SIGMETRICS 2017, and examines the work's significance and future potential. We take a comprehensive approach to understanding and exploiting the latency and reliability characteristics of modern DRAM when the DRAM supply voltage is lowered below t… ▽ More

    Submitted 8 May, 2018; originally announced May 2018.

  49. arXiv:1805.03154  [pdf, other

    cs.AR

    Flexible-Latency DRAM: Understanding and Exploiting Latency Variation in Modern DRAM Chips

    Authors: Kevin K. Chang, Abhijith Kashyap, Hasan Hassan, Saugata Ghose, Kevin Hsieh, Donghyuk Lee, Tianshi Li, Gennady Pekhimenko, Samira Khan, Onur Mutlu

    Abstract: This article summarizes key results of our work on experimental characterization and analysis of latency variation and latency-reliability trade-offs in modern DRAM chips, which was published in SIGMETRICS 2016, and examines the work's significance and future potential. The goal of this work is to (i) experimentally characterize and understand the latency variation across cells within a DRAM chi… ▽ More

    Submitted 8 May, 2018; originally announced May 2018.

  50. arXiv:1803.05567  [pdf, other

    cs.CL

    Achieving Human Parity on Automatic Chinese to English News Translation

    Authors: Hany Hassan, Anthony Aue, Chang Chen, Vishal Chowdhary, Jonathan Clark, Christian Federmann, Xuedong Huang, Marcin Junczys-Dowmunt, William Lewis, Mu Li, Shujie Liu, Tie-Yan Liu, Renqian Luo, Arul Menezes, Tao Qin, Frank Seide, Xu Tan, Fei Tian, Lijun Wu, Shuangzhi Wu, Yingce Xia, Dongdong Zhang, Zhirui Zhang, Ming Zhou

    Abstract: Machine translation has made rapid advances in recent years. Millions of people are using it today in online translation systems and mobile applications in order to communicate across language barriers. The question naturally arises whether such systems can approach or achieve parity with human translations. In this paper, we first address the problem of how to define and accurately measure human… ▽ More

    Submitted 29 June, 2018; v1 submitted 14 March, 2018; originally announced March 2018.