Skip to main content

Showing 1–40 of 40 results for author: Eltawil, A

Searching in archive cs. Search in all archives.
.
  1. arXiv:2406.03162  [pdf, other

    eess.SP cs.IT

    The Curse of Beam-Squint in ISAC: Causes, Implications, and Mitigation Strategies

    Authors: Ahmet M. Elbir, Kumar Vijay Mishra, Abdulkadir Celik, Ahmed M. Eltawil

    Abstract: Integrated sensing and communications (ISAC) has emerged as a means to efficiently utilize spectrum and thereby save cost and power. At the higher end of the spectrum, ISAC systems operate at wideband using large antenna arrays to meet the stringent demands for high-resolution sensing and enhanced communications capacity. However, the wideband implementation entails beam-squint, that is, deviation… ▽ More

    Submitted 5 June, 2024; originally announced June 2024.

    Comments: Accepted Paper in IEEE Communications Magazine

  2. At the Dawn of Generative AI Era: A Tutorial-cum-Survey on New Frontiers in 6G Wireless Intelligence

    Authors: Abdulkadir Celik, Ahmed M. Eltawil

    Abstract: The majority of data-driven wireless research leans heavily on discriminative AI (DAI) that requires vast real-world datasets. Unlike the DAI, Generative AI (GenAI) pertains to generative models (GMs) capable of discerning the underlying data distribution, patterns, and features of the input data. This makes GenAI a crucial asset in wireless domain wherein real-world data is often scarce, incomple… ▽ More

    Submitted 2 February, 2024; originally announced February 2024.

  3. arXiv:2401.08186  [pdf, other

    eess.SP cs.IT

    Index Modulation for Integrated Sensing and Communications: A Signal Processing Perspective

    Authors: Ahmet M. Elbir, Abdulkadir Celik, Ahmed M. Eltawil, Moeness G. Amin

    Abstract: A joint design of both sensing and communication can lead to substantial enhancement for both subsystems in terms of size, cost as well as spectrum and hardware efficiency. In the last decade, integrated sensing and communications (ISAC) has emerged as a means to efficiently utilize the spectrum on a single and shared hardware platform. Recent studies focused on develo** multi-function approache… ▽ More

    Submitted 16 January, 2024; originally announced January 2024.

    Comments: 11pages5figures, submitted to IEEE

  4. arXiv:2311.04322  [pdf, ps, other

    eess.SP cs.IT

    NEAT-MUSIC: Auto-calibration of DOA Estimation for Terahertz-Band Massive MIMO Systems

    Authors: Ahmet M. Elbir, Abdulkadir Celik, Ahmed M. Eltawil

    Abstract: Terahertz (THz) band is envisioned for the future sixth generation wireless systems thanks to its abundant bandwidth and very narrow beamwidth. These features are one of the key enabling factors for high resolution sensing with milli-degree level direction-of-arrival (DOA) estimation. Therefore, this paper investigates the DOA estimation problem in THz systems in the presence of two major error so… ▽ More

    Submitted 7 November, 2023; originally announced November 2023.

    Comments: Accepted paper in IEEE Wireless Communications Letters. arXiv admin note: text overlap with arXiv:2310.16724

  5. arXiv:2309.14557  [pdf, other

    cs.LG cs.ET

    Disruption Detection for a Cognitive Digital Supply Chain Twin Using Hybrid Deep Learning

    Authors: Mahmoud Ashraf, Amr Eltawil, Islam Ali

    Abstract: Purpose: Recent disruptive events, such as COVID-19 and Russia-Ukraine conflict, had a significant impact of global supply chains. Digital supply chain twins have been proposed in order to provide decision makers with an effective and efficient tool to mitigate disruption impact. Methods: This paper introduces a hybrid deep learning approach for disruption detection within a cognitive digital supp… ▽ More

    Submitted 25 September, 2023; originally announced September 2023.

  6. arXiv:2309.13984  [pdf, other

    eess.SP cs.IT

    Near-field Hybrid Beamforming for Terahertz-band Integrated Sensing and Communications

    Authors: Ahmet M. Elbir, Abdulkadir Celik, Ahmed M. Eltawil

    Abstract: Terahertz (THz) band communications and integrated sensing and communications (ISAC) are two main facets of the sixth generation wireless networks. In order to compensate the severe attenuation, the THz wireless systems employ large arrays, wherein the near-field beam-squint severely degrades the beamforming accuracy. Contrary to prior works that examine only either narrowband ISAC beamforming or… ▽ More

    Submitted 25 September, 2023; originally announced September 2023.

    Comments: Accepted Paper in 2023 IEEE Global Communications Conference (GLOBECOM), Kuala Lumpur, Malaysia, 2023

  7. arXiv:2307.07242  [pdf, other

    eess.SP cs.IT

    Antenna Selection With Beam Squint Compensation for Integrated Sensing and Communications

    Authors: Ahmet M. Elbir, Asmaa Abdallah, Abdulkadir Celik, Ahmed M. Eltawil

    Abstract: Next-generation wireless networks strive for higher communication rates, ultra-low latency, seamless connectivity, and high-resolution sensing capabilities. To meet these demands, terahertz (THz)-band signal processing is envisioned as a key technology offering wide bandwidth and sub-millimeter wavelength. Furthermore, THz integrated sensing and communications (ISAC) paradigm has emerged jointly a… ▽ More

    Submitted 14 July, 2023; originally announced July 2023.

    Comments: 14pages10figures, submitted to IEEE

  8. arXiv:2305.19295  [pdf, other

    cs.NE

    Low Precision Quantization-aware Training in Spiking Neural Networks with Differentiable Quantization Function

    Authors: Ayan Shymyrbay, Mohammed E. Fouda, Ahmed Eltawil

    Abstract: Deep neural networks have been proven to be highly effective tools in various domains, yet their computational and memory costs restrict them from being widely deployed on portable devices. The recent rapid increase of edge computing devices has led to an active search for techniques to address the above-mentioned limitations of machine learning frameworks. The quantization of artificial neural ne… ▽ More

    Submitted 30 May, 2023; originally announced May 2023.

    Comments: 8 pages, 5 Figures, accepted at IJCNN'23

  9. arXiv:2303.12328  [pdf, other

    eess.SP cs.IT

    Spatial Path Index Modulation in mmWave/THz-Band Integrated Sensing and Communications

    Authors: Ahmet M. Elbir, Kumar Vijay Mishra, Asmaa Abdallah, Abdulkadir Celik, Ahmed M. Eltawil

    Abstract: As the demand for wireless connectivity continues to soar, the fifth generation and beyond wireless networks are exploring new ways to efficiently utilize the wireless spectrum and reduce hardware costs. One such approach is the integration of sensing and communications (ISAC) paradigms to jointly access the spectrum. Recent ISAC studies have focused on upper millimeter-wave and low terahertz band… ▽ More

    Submitted 22 March, 2023; originally announced March 2023.

    Comments: 30pages, Submitted to the IEEE journals

  10. arXiv:2302.03376  [pdf, other

    cs.NI eess.SP

    System-Level Metrics for Non-Terrestrial Networks Under Stochastic Geometry Framework

    Authors: Qi Huang, Baha Eddine Youcef Belmekki, Ahmed M. Eltawil, Mohamed-Slim Alouini

    Abstract: Non-terrestrial networks (NTNs) are considered one of the key enablers in sixth-generation (6G) wireless networks; and with their rapid growth, system-level metrics analysis adds crucial understanding into NTN system performance. Applying stochastic geometry (SG) as a system-level analysis tool in the context of NTN offers novel insights into the network tradeoffs. In this paper, we study and high… ▽ More

    Submitted 10 February, 2023; v1 submitted 7 February, 2023; originally announced February 2023.

    Comments: 7 pages

  11. arXiv:2301.03973  [pdf, ps, other

    cs.IT

    Performance of RIS-empowered NOMA-based D2D Communication under Nakagami-m Fading

    Authors: Mohd Hamza Naim Shaikh, Sultangali Arzykulov, Abdulkadir Celik, Ahmed M. Eltawil, G. Nauryzbayev

    Abstract: Reconfigurable intelligent surfaces (RISs) have sparked a renewed interest in the research community envisioning future wireless communication networks. In this study, we analyzed the performance of RIS-enabled non-orthogonal multiple access (NOMA) based device-to-device (D2D) wireless communication system, where the RIS is partitioned to serve a pair of D2D users. Specifically, closed-form expres… ▽ More

    Submitted 10 January, 2023; originally announced January 2023.

    Comments: Accepted for Publication in the Proceedings of IEEE VTC-Fall 2022, 5 Pages, 4 Figures

  12. arXiv:2212.13707  [pdf, other

    cs.AR cs.LG

    Thermal Heating in ReRAM Crossbar Arrays: Challenges and Solutions

    Authors: Kamilya Smagulova, Mohammed E. Fouda, Ahmed Eltawil

    Abstract: The higher speed, scalability and parallelism offered by ReRAM crossbar arrays foster development of ReRAM-based next generation AI accelerators. At the same time, sensitivity of ReRAM to temperature variations decreases R_on/Roff ratio and negatively affects the achieved accuracy and reliability of the hardware. Various works on temperature-aware optimization and remap** in ReRAM crossbar array… ▽ More

    Submitted 31 January, 2023; v1 submitted 28 December, 2022; originally announced December 2022.

    Comments: 18 pages

  13. arXiv:2211.04540  [pdf, other

    eess.SP cs.IT

    Millimeter-Wave Radar Beamforming with Spatial Path Index Modulation Communications

    Authors: Ahmet M. Elbir, Kumar Vijay Mishra, Abdulkadir Çelik, Ahmed M. Eltawil

    Abstract: To efficiently utilize the wireless spectrum and save hardware costs, the fifth generation and beyond (B5G) wireless networks envisage integrated sensing and communications (ISAC) paradigms to jointly access the spectrum. In B5G systems, the expensive hardware is usually avoided by employing hybrid beamformers that employ fewer radio-frequency chains but at the cost of the multiplexing gain. Recen… ▽ More

    Submitted 22 January, 2023; v1 submitted 8 November, 2022; originally announced November 2022.

    Comments: Accepted paper in 2023 IEEE Radar Conference

  14. arXiv:2208.03582  [pdf, other

    cs.IT eess.SP

    Reconfigurable Intelligent Surface Enabled Over-the-Air Uplink Non-orthogonal Multiple Access

    Authors: Emre Arslan, Fatih Kilinc, Sultangali Arzykulov, Ali Tugberk Dogukan, Abdulkadir Celik, Ertugrul Basar, Ahmad M. Eltawil

    Abstract: Innovative reconfigurable intelligent surface (RIS) technologies are rising and recognized as promising candidates to enhance 6G and beyond wireless communication systems. RISs acquire the ability to manipulate electromagnetic signals, thus, offering a degree of control over the wireless channel and the potential for many more benefits. Furthermore, active RIS designs have recently been introduced… ▽ More

    Submitted 6 August, 2022; originally announced August 2022.

  15. arXiv:2205.15505  [pdf, other

    cs.AR cs.ET q-bio.GN

    DNA Pattern Matching Acceleration with Analog Resistive CAM

    Authors: **ane Bazzi, Jana Sweidan, Mohammed E. Fouda, Rouwaida Kanj, Ahmed M. Eltawil

    Abstract: DNA pattern matching is essential for many widely used bioinformatics applications. Disease diagnosis is one of these applications, since analyzing changes in DNA sequences can increase our understanding of possible genetic diseases. The remarkable growth in the size of DNA datasets has resulted in challenges in discovering DNA patterns efficiently in terms of run time and power consumption. In th… ▽ More

    Submitted 30 May, 2022; originally announced May 2022.

  16. arXiv:2205.07141  [pdf, other

    cs.LG cs.AI

    BackLink: Supervised Local Training with Backward Links

    Authors: Wenzhe Guo, Mohammed E Fouda, Ahmed M. Eltawil, Khaled N. Salama

    Abstract: Empowered by the backpropagation (BP) algorithm, deep neural networks have dominated the race in solving various cognitive tasks. The restricted training pattern in the standard BP requires end-to-end error propagation, causing large memory cost and prohibiting model parallelization. Existing local training methods aim to resolve the training obstacle by completely cutting off the backward path be… ▽ More

    Submitted 14 May, 2022; originally announced May 2022.

  17. arXiv:2203.02500  [pdf, other

    cs.AR cs.ET

    Efficient Analog CAM Design

    Authors: **ane Bazzi, Jana Sweidan, Mohammed E. Fouda, Rouwaida Kanj, Ahmed M. Eltawil

    Abstract: Content Addressable Memories (CAMs) are considered a key-enabler for in-memory computing (IMC). IMC shows order of magnitude improvement in energy efficiency and throughput compared to traditional computing techniques. Recently, analog CAMs (aCAMs) were proposed as a means to improve storage density and energy efficiency. In this work, we propose two new aCAM cells to improve data encoding and rob… ▽ More

    Submitted 4 March, 2022; originally announced March 2022.

    Comments: This is a revised manuscript that is under consideration for publication at IEEE TCAS-I

  18. arXiv:2203.00662  [pdf, other

    cs.ET cs.AR

    In-memory Associative Processors: Tutorial, Potential, and Challenges

    Authors: Mohammed E. Fouda, Hasan Erdem Yantir, Ahmed M. Eltawil, Fadi Kurdahi

    Abstract: In-memory computing is an emerging computing paradigm that overcomes the limitations of exiting Von-Neumann computing architectures such as the memory-wall bottleneck. In such paradigm, the computations are performed directly on the data stored in the memory, which highly reduces the memory-processor communications during computation. Hence, significant speedup and energy savings could be achieved… ▽ More

    Submitted 12 April, 2022; v1 submitted 1 March, 2022; originally announced March 2022.

    Comments: 7 pages

  19. arXiv:2201.07210  [pdf

    cs.NE cs.LG eess.SP

    Efficient Training of Spiking Neural Networks with Temporally-Truncated Local Backpropagation through Time

    Authors: Wenzhe Guo, Mohammed E. Fouda, Ahmed M. Eltawil, Khaled Nabil Salama

    Abstract: Directly training spiking neural networks (SNNs) has remained challenging due to complex neural dynamics and intrinsic non-differentiability in firing functions. The well-known backpropagation through time (BPTT) algorithm proposed to train SNNs suffers from large memory footprint and prohibits backward and update unlocking, making it impossible to exploit the potential of locally-supervised train… ▽ More

    Submitted 13 December, 2021; originally announced January 2022.

    Comments: 16

  20. arXiv:2110.09643  [pdf, other

    cs.AR

    In-memory Multi-valued Associative Processor

    Authors: Mira Hout, Mohammed E. Fouda, Rouwaida Kanj, Ahmed M. Eltawil

    Abstract: In-memory associative processor architectures are offered as a great candidate to overcome memory-wall bottleneck and to enable vector/parallel arithmetic operations. In this paper, we extend the functionality of the associative processor to multi-valued arithmetic. To allow for in-memory compute implementation of arithmetic or logic functions, we propose a structured methodology enabling the auto… ▽ More

    Submitted 18 October, 2021; originally announced October 2021.

  21. arXiv:2109.03934  [pdf, other

    cs.AR cs.NE

    Resistive Neural Hardware Accelerators

    Authors: Kamilya Smagulova, Mohammed E. Fouda, Fadi Kurdahi, Khaled Salama, Ahmed Eltawil

    Abstract: Deep Neural Networks (DNNs), as a subset of Machine Learning (ML) techniques, entail that real-world data can be learned and that decisions can be made in real-time. However, their wide adoption is hindered by a number of software and hardware limitations. The existing general-purpose hardware platforms used to accelerate DNNs are facing new challenges associated with the growing amount of data an… ▽ More

    Submitted 8 September, 2021; originally announced September 2021.

  22. arXiv:2102.10847  [pdf, other

    cs.IT

    Deep Learning Based Frequency-Selective Channel Estimation for Hybrid mmWave MIMO Systems

    Authors: Asmaa Abdallah, Abdulkadir Celik, Mohammad M. Mansour, Ahmed M. Eltawil

    Abstract: Millimeter wave (mmWave) massive multiple-input multiple-output (MIMO) systems typically employ hybrid mixed signal processing to avoid expensive hardware and high training overheads. {However, the lack of fully digital beamforming at mmWave bands imposes additional challenges in channel estimation. Prior art on hybrid architectures has mainly focused on greedy optimization algorithms to estimate… ▽ More

    Submitted 22 February, 2021; originally announced February 2021.

    Comments: 16 pages, 8 figures, submitted to IEEE transactions on wireless communications. arXiv admin note: text overlap with arXiv:1704.08572 by other authors

  23. arXiv:2011.10852  [pdf, other

    cs.NE cs.ET

    On-Chip Error-triggered Learning of Multi-layer Memristive Spiking Neural Networks

    Authors: Melika Payvand, Mohammed E. Fouda, Fadi Kurdahi, Ahmed M. Eltawil, Emre O. Neftci

    Abstract: Recent breakthroughs in neuromorphic computing show that local forms of gradient descent learning are compatible with Spiking Neural Networks (SNNs) and synaptic plasticity. Although SNNs can be scalably implemented using neuromorphic VLSI, an architecture that can learn using gradient-descent in situ is still missing. In this paper, we propose a local, gradient-based, error-triggered learning alg… ▽ More

    Submitted 21 November, 2020; originally announced November 2020.

    Comments: 15 pages, 11 figures, Journal of Emerging Technology in Circuits and Systems (JETCAS)

  24. UAV-Assisted Cooperative & Cognitive NOMA: Deployment, Clustering, and Resource Allocation

    Authors: Sultangali Arzykulov, Abdulkadir Celik, Galymzhan Nauryzbayev, Ahmed M. Eltawil

    Abstract: Cooperative and cognitive non-orthogonal multiple access (CCR-NOMA) has been recognized as a promising technique to overcome issues of spectrum scarcity and support massive connectivity envisioned in next-generation wireless networks. In this paper, we investigate the deployment of an unmanned aerial vehicle (UAV) as a relay that fairly serves a large number of secondary users in a hot-spot region… ▽ More

    Submitted 25 August, 2020; originally announced August 2020.

  25. A Non-Ideal NOMA-based mmWave D2D Networks with Hardware and CSI Imperfections

    Authors: Leila Tlebaldiyeva, Galymzhan Nauryzbayev, Sultangali Arzykulov, Yerassyl Akhmetkaziyev, Mohammad S. Hashmi, Ahmed M. Eltawil

    Abstract: This letter investigates a non-orthogonal multiple access (NOMA) assisted millimeter-wave device-to-device (D2D) network practically limited by multiple interference noises, transceiver hardware impairments, imperfect successive interference cancellation, and channel state information mismatch. Generalized outage probability expressions for NOMA-D2D users are deduced and achieved results, validate… ▽ More

    Submitted 22 April, 2020; originally announced April 2020.

    Comments: 4 pages, 3 figures

  26. Hardware and Interference Limited Cooperative CR-NOMA Networks under Imperfect SIC and CSI

    Authors: Sultangali Arzykulov, Galymzhan Nauryzbayev, Abdulkadir Celik, Ahmed M. Eltawil

    Abstract: The conflation of cognitive radio (CR) and nonorthogonal multiple access (NOMA) concepts is a promising approach to fulfil the massive connectivity goals of future networks given the spectrum scarcity. Accordingly, this letter investigates the outage performance of imperfect cooperative CR-NOMA networks under hardware impairments and interference. Our analysis is involved with the derivation of th… ▽ More

    Submitted 22 April, 2020; originally announced April 2020.

    Comments: 5 pages, 4 figures

    Journal ref: IEEE Open Journal of the Communications Society, vol. 2, pp. 1473-1485, 2021

  27. arXiv:2001.00962  [pdf, other

    eess.SP cs.IT

    Application of ICA on Self-Interference Cancellation of In-band Full Duplex Systems

    Authors: Mohammed E. Fouda, Sergey Shaboyan, Ayman Elezabi, Ahmed Eltawil

    Abstract: In this letter, we propose a modified version of Fast Independent Component Analysis (FICA) algorithm to solve the self-interference cancellation (SIC) problem in In-band Full Duplex (IBFD) communication systems. The complex mixing problem is mathematically formulated to suit the real-valued blind source separation (BSS) algorithms. In addition, we propose a method to estimate the ambiguity factor… ▽ More

    Submitted 3 January, 2020; originally announced January 2020.

  28. arXiv:1910.06152  [pdf, other

    cs.ET

    Error-triggered Three-Factor Learning Dynamics for Crossbar Arrays

    Authors: Melika Payvand, Mohammed Fouda, Fadi Kurdahi, Ahmed Eltawil, Emre O. Neftci

    Abstract: Recent breakthroughs suggest that local, approximate gradient descent learning is compatible with Spiking Neural Networks (SNNs). Although SNNs can be scalably implemented using neuromorphic VLSI, an architecture that can learn in-situ as accurately as conventional processors is still missing. Here, we propose a subthreshold circuit architecture designed through insights obtained from machine lear… ▽ More

    Submitted 14 October, 2019; originally announced October 2019.

  29. arXiv:1909.01771  [pdf, other

    cs.ET

    Spiking Neural Networks for Inference and Learning: A Memristor-based Design Perspective

    Authors: M. E. Fouda, F. Kurdahi, A. Eltawil, E. Neftci

    Abstract: On metrics of density and power efficiency, neuromorphic technologies have the potential to surpass mainstream computing technologies in tasks where real-time functionality, adaptability, and autonomy are essential. While algorithmic advances in neuromorphic computing are proceeding successfully, the potential of memristors to improve neuromorphic computing have not yet born fruit, primarily becau… ▽ More

    Submitted 8 October, 2019; v1 submitted 4 September, 2019; originally announced September 2019.

  30. Power Consumption and Energy-Efficiency for In-Band Full-Duplex Wireless Systems

    Authors: Murad Murad, Ahmed M. Eltawil

    Abstract: This paper presents an analytical model of power consumption for In-Band Full-Duplex (IBFD) Wireless Local-Area Networks (WLANs). Energy-efficiency is compared for both Half-Duplex (HD) and IBFD networks. The presented analytical model closely matches the results generated by simulation. For a given traffic scenario, IBFD systems exhibit higher power consumption, however at improved energy efficie… ▽ More

    Submitted 23 April, 2019; originally announced April 2019.

  31. arXiv:1904.08966  [pdf, other

    cs.IT

    Non-Stationary Polar Codes for Resistive Memories

    Authors: Marwen Zorgui, Mohammed E. Fouda, Zhiying Wang, Ahmed M. Eltawil, Fadi Kurdahi

    Abstract: Resistive memories are considered a promising memory technology enabling high storage densities with in-memory computing capabilities. However, the readout reliability of resistive memories is impaired due to the inevitable existence of wire resistance, resulting in the sneak path problem. Motivated by this problem, we study polar coding over channels with different reliability levels, termed non-… ▽ More

    Submitted 18 April, 2019; originally announced April 2019.

  32. Performance Analysis and Enhancements for In-Band Full-Duplex Wireless Local Area Networks

    Authors: Murad Murad, Ahmed M. Eltawil

    Abstract: In-Band Full-Duplex (IBFD) is a technique that enables a wireless node to simultaneously transmit a signal and receive another on the same assigned frequency. Thus, IBFD wireless systems can provide up to twice the channel capacity compared to conventional Half-Duplex (HD) systems. In order to study the feasibility of IBFD networks, reliable models are needed to capture anticipated benefits of IBF… ▽ More

    Submitted 27 March, 2019; originally announced March 2019.

  33. arXiv:1903.01512  [pdf, other

    cs.ET cs.AR

    On Resistive Memories: One Step Row Readout Technique and Sensing Circuitry

    Authors: Mohammed E Fouda, Ahmed M. Eltawil, Fadi Kurdahi

    Abstract: Transistor-based memories are rapidly approaching their maximum density per unit area. Resistive crossbar arrays enable denser memory due to the small size of switching devices. However, due to the resistive nature of these memories, they suffer from current sneak paths complicating the readout procedure. In this paper, we propose a row readout technique with circuitry that can be used to read {se… ▽ More

    Submitted 4 March, 2019; originally announced March 2019.

  34. All-Digital Self-interference Cancellation Technique for Full-duplex Systems

    Authors: Elsayed Ahmed, Ahmed M. Eltawil

    Abstract: Full-duplex systems are expected to double the spectral efficiency compared to conventional half-duplex systems if the self-interference signal can be significantly mitigated. Digital cancellation is one of the lowest complexity self-interference cancellation techniques in full-duplex systems. However, its mitigation capability is very limited, mainly due to transmitter and receiver circuit's impa… ▽ More

    Submitted 20 June, 2014; originally announced June 2014.

    Comments: Submitted to IEEE Transactions on Wireless Communications

  35. arXiv:1405.7720  [pdf, other

    cs.IT

    Full-Duplex Systems Using Multi-Reconfigurable Antennas

    Authors: Elsayed Ahmed, Ahmed M. Eltawil, Zhouyuan Li, Bedri A. Cetiner

    Abstract: Full-duplex systems are expected to achieve 100% rate improvement over half-duplex systems if the self-interference signal can be significantly mitigated. In this paper, we propose the first full-duplex system utilizing Multi-Reconfigurable Antenna (MRA) with ?90% rate improvement compared to half-duplex systems. MRA is a dynamically reconfigurable antenna structure, that is capable of changing it… ▽ More

    Submitted 29 May, 2014; originally announced May 2014.

    Comments: Submitted to IEEE Transactions on Wireless Communications

  36. arXiv:1403.2785  [pdf

    cs.AR

    State Dependent Statistical Timing Model for Voltage Scaled Circuits

    Authors: Aras Pirbadian, Muhammad S. Khairy, Ahmed M. Eltawil, Fadi J. Kurdahi

    Abstract: This paper presents a novel statistical state-dependent timing model for voltage over scaled (VoS) logic circuits that accurately and rapidly finds the timing distribution of output bits. Using this model erroneous VoS circuits can be represented as error-free circuits combined with an error-injector. A case study of a two point DFT unit employing the proposed model is presented and compared to HS… ▽ More

    Submitted 11 March, 2014; originally announced March 2014.

  37. On Phase Noise Suppression in Full-Duplex Systems

    Authors: Elsayed Ahmed, Ahmed M. Eltawil

    Abstract: Oscillator phase noise has been shown to be one of the main performance limiting factors in full-duplex systems. In this paper, we consider the problem of self-interference cancellation with phase noise suppression in full-duplex systems. The feasibility of performing phase noise suppression in full-duplex systems in terms of both complexity and achieved gain is analytically and experimentally inv… ▽ More

    Submitted 6 November, 2014; v1 submitted 24 January, 2014; originally announced January 2014.

    Comments: Published in IEEE transactions on wireless communications on October-2014. Please refer to the IEEE version for the most updated document

  38. Self-Interference Cancellation with Phase Noise Induced ICI Suppression for Full-Duplex Systems

    Authors: Elsayed Ahmed, Ahmed M. Eltawil, Ashutosh Sabharwal

    Abstract: One of the main bottlenecks in practical full-duplex systems is the oscillator phase noise, which bounds the possible cancellable self-interference power. In this paper, a digitaldomain self-interference cancellation scheme for full-duplex orthogonal frequency division multiplexing systems is proposed. The proposed scheme increases the amount of cancellable selfinterference power by suppressing th… ▽ More

    Submitted 15 July, 2013; originally announced July 2013.

    Comments: To be presented in Global Telecommunications Conference (GLOBECOM 2013). arXiv admin note: text overlap with arXiv:1307.3796

  39. Self-Interference Cancellation with Nonlinear Distortion Suppression for Full-Duplex Systems

    Authors: Elsayed Ahmed, Ahmed M. Eltawil, Ashutosh Sabharwal

    Abstract: In full-duplex systems, due to the strong self-interference signal, system nonlinearities become a significant limiting factor that bounds the possible cancellable self-interference power. In this paper, a self-interference cancellation scheme for full-duplex orthogonal frequency division multiplexing systems is proposed. The proposed scheme increases the amount of cancellable self-interference po… ▽ More

    Submitted 23 September, 2013; v1 submitted 14 July, 2013; originally announced July 2013.

    Comments: To be presented in Asilomar Conference on Signals, Systems & Computers (November 2013)

  40. Rate Gain Region and Design Tradeoffs for Full-Duplex Wireless Communications

    Authors: Elsayed Ahmed, Ahmed Eltawil, Ashutosh Sabharwal

    Abstract: In this paper, we analytically study the regime in which practical full-duplex systems can achieve larger rates than an equivalent half-duplex systems. The key challenge in practical full-duplex systems is uncancelled self-interference signal, which is caused by a combination of hardware and implementation imperfections. Thus, we first present a signal model which captures the effect of significan… ▽ More

    Submitted 24 January, 2014; v1 submitted 7 March, 2013; originally announced March 2013.

    Comments: Accepted on 09-May-2013 for publications at IEEE Transactions on Wireless Communications (check the IEEE website for the final published version)

    Journal ref: Wireless Communications, IEEE Transactions on , vol.12, no.7, pp.3556,3565, July 2013