Skip to main content

Showing 1–8 of 8 results for author: Dang, K N

Searching in archive cs. Search in all archives.
.
  1. arXiv:2403.08843  [pdf, other

    cs.AI

    Fuzzy Fault Trees Formalized

    Authors: Thi Kim Nhung Dang, Milan Lopuhaä-Zwakenberg, Mariëlle Stoelinga

    Abstract: Fault tree analysis is a vital method of assessing safety risks. It helps to identify potential causes of accidents, assess their likelihood and severity, and suggest preventive measures. Quantitative analysis of fault trees is often done via the dependability metrics that compute the system's failure behaviour over time. However, the lack of precise data is a major obstacle to quantitative analys… ▽ More

    Submitted 13 March, 2024; originally announced March 2024.

    Comments: 14 pages

  2. arXiv:2401.12346  [pdf, other

    cs.CR

    Fuzzy quantitative attack tree analysis

    Authors: Thi Kim Nhung Dang, Milan Lopuhaä-Zwakenberg, Mariëlle Stoelinga

    Abstract: Attack trees are important for security, as they help to identify weaknesses and vulnerabilities in a system. Quantitative attack tree analysis supports a number security metrics, which formulate important KPIs such as the shortest, most likely and cheapest attacks. A key bottleneck in quantitative analysis is that the values are usually not known exactly, due to insufficient data and/or lack of… ▽ More

    Submitted 22 January, 2024; originally announced January 2024.

    Comments: 23 pages, 6 figures, FASE2024

  3. arXiv:2111.05062  [pdf, other

    cs.LG

    Look back, look around: a systematic analysis of effective predictors for new outlinks in focused Web crawling

    Authors: Thi Kim Nhung Dang, Doina Bucur, Berk Atil, Guillaume Pitel, Frank Ruis, Hamidreza Kadkhodaei, Nelly Litvak

    Abstract: Small and medium enterprises rely on detailed Web analytics to be informed about their market and competition. Focused crawlers meet this demand by crawling and indexing specific parts of the Web. Critically, a focused crawler must quickly find new pages that have not yet been indexed. Since a new page can be discovered only by following a new outlink, predicting new outlinks is very relevant in p… ▽ More

    Submitted 15 November, 2022; v1 submitted 9 November, 2021; originally announced November 2021.

    Comments: 23 pages, 15 figures, 4 tables, uses arxiv.sty, added new title, heuristic features and their results added, figures 7, 14, and 15 updated, accepted version

  4. A low-overhead soft-hard fault-tolerant architecture, design and management scheme for reliable high-performance many-core 3D-NoC systems

    Authors: Khanh N Dang, Michael Meyer, Yuichi Okuyama, Abderazek Ben Abdallah

    Abstract: The Network-on-Chip (NoC) paradigm has been proposed as a favorable solution to handle the strict communication requirements between the increasingly large number of cores on a single chip. However, NoC systems are exposed to the aggressive scaling down of transistors, low operating voltages, and high integration and power densities, making them vulnerable to permanent (hard) faults and transient… ▽ More

    Submitted 21 March, 2020; originally announced March 2020.

    Comments: arXiv admin note: text overlap with arXiv:2003.09616

    Journal ref: The Journal of Supercomputing volume 73 (2017)

  5. arXiv:2003.09847  [pdf, other

    cs.NE

    An Efficient Software-Hardware Design Framework for Spiking Neural Network Systems

    Authors: Khanh N. Dang, Abderazek Ben Abdallah

    Abstract: Spiking Neural Network (SNN) is the third generation of Neural Network (NN) mimicking the natural behavior of the brain. By processing based on binary input/output, SNNs offer lower complexity, higher density and lower power consumption. This work presents an efficient software-hardware design framework for develo** SNN systems in hardware. In addition, a design of low-cost neurosynaptic core is… ▽ More

    Submitted 22 March, 2020; originally announced March 2020.

    Journal ref: 2019 International Conference on Internet of Things, Embedded Systems and Communications (IINTEC)

  6. Reliability Assessment and Quantitative Evaluation of Soft-Error Resilient 3D Network-on-Chip Systems

    Authors: Khanh N Dang, Michael Meyer, Yuichi Okuyama, Abderazek Ben Abdallah

    Abstract: Three-Dimensional Networks-on-Chips (3D-NoCs) have been proposed as an auspicious solution, merging the high parallelism of the Network-on-Chip (NoC) paradigm with the high-performance and low-power cost of 3D-ICs. However, as technology scales down, the reliability issues are becoming more crucial, especially for complex 3D-NoC which provides the communication requirements of multi and many-core… ▽ More

    Submitted 21 March, 2020; originally announced March 2020.

    Journal ref: 2016 IEEE 25th Asian Test Symposium (ATS)

  7. arXiv:2003.09616  [pdf, other

    cs.AR

    Soft-Error and Hard-fault Tolerant Architecture and Routing Algorithm for Reliable 3D-NoC Systems

    Authors: Khanh N. Dang, Yuichi Okuyama, Abderazek Ben Abdallah

    Abstract: Network-on-Chip (NoC) paradigm has been proposed as an auspicious solution to handle the strict communication requirements between the increasingly large number of cores on a single multi and many-core chips. However, NoC systems are exposed to a variety of manufacturing, design and energetic particles factors making them vulnerable to permanent (hard) faults and transient (soft) errors. In this p… ▽ More

    Submitted 21 March, 2020; originally announced March 2020.

  8. arXiv:2003.08648  [pdf

    cs.AR

    Report on power, thermal and reliability prediction for 3D Networks-on-Chip

    Authors: Khanh N. Dang, Akram Ben Ahmed, Abderazek Ben Abdallah, Xuan-Tu Tran

    Abstract: By combining Three Dimensional Integrated Circuits with the Network-on-Chip infrastructure to obtain 3D Networks-on-Chip (3D-NoCs), the new on-chip communication paradigm brings several advantages on lower power, smaller footprint and lower latency. However, thermal dissipation is one of the most critical challenges for 3D-ICs where the heat cannot easily transfer through several layers of silicon… ▽ More

    Submitted 19 March, 2020; originally announced March 2020.