Skip to main content

Showing 1–50 of 59 results for author: Chong, T

Searching in archive cs. Search in all archives.
.
  1. arXiv:2406.07842  [pdf, other

    eess.AS cs.CL

    Dual-Pipeline with Low-Rank Adaptation for New Language Integration in Multilingual ASR

    Authors: Yerbolat Khassanov, Zhipeng Chen, Tianfeng Chen, Tze Yuang Chong, Wei Li, Jun Zhang, Lu Lu, Yuxuan Wang

    Abstract: This paper addresses challenges in integrating new languages into a pre-trained multilingual automatic speech recognition (mASR) system, particularly in scenarios where training data for existing languages is limited or unavailable. The proposed method employs a dual-pipeline with low-rank adaptation (LoRA). It maintains two data flow pipelines-one for existing languages and another for new langua… ▽ More

    Submitted 11 June, 2024; originally announced June 2024.

    Comments: 5 pages, 2 figures, 4 tables

  2. arXiv:2405.00146  [pdf, other

    quant-ph cs.ET

    Averting multi-qubit burst errors in surface code magic state factories

    Authors: Jason D. Chadwick, Christopher Kang, Joshua Viszlai, Sophia Fuhui Lin, Frederic T. Chong

    Abstract: Fault-tolerant quantum computation relies on the assumption of time-invariant, sufficiently low physical error rates. However, current superconducting quantum computers suffer from frequent disruptive noise events, including cosmic ray impacts and shifting two-level system defects. Several methods have been proposed to mitigate these issues in software, but they add large overheads in terms of phy… ▽ More

    Submitted 30 April, 2024; originally announced May 2024.

    Comments: 13 pages, 12 figures

  3. arXiv:2404.17962  [pdf, other

    quant-ph cs.AI cs.LG cs.PF eess.SY

    Deep Learning for Low-Latency, Quantum-Ready RF Sensing

    Authors: Pranav Gokhale, Caitlin Carnahan, William Clark, Frederic T. Chong

    Abstract: Recent work has shown the promise of applying deep learning to enhance software processing of radio frequency (RF) signals. In parallel, hardware developments with quantum RF sensors based on Rydberg atoms are breaking longstanding barriers in frequency range, resolution, and sensitivity. In this paper, we describe our implementations of quantum-ready machine learning approaches for RF signal clas… ▽ More

    Submitted 27 April, 2024; originally announced April 2024.

  4. arXiv:2401.05571  [pdf, other

    quant-ph cs.AR cs.LG

    QuantumSEA: In-Time Sparse Exploration for Noise Adaptive Quantum Circuits

    Authors: Tianlong Chen, Zhenyu Zhang, Hanrui Wang, Jiaqi Gu, Zirui Li, David Z. Pan, Frederic T. Chong, Song Han, Zhangyang Wang

    Abstract: Parameterized Quantum Circuits (PQC) have obtained increasing popularity thanks to their great potential for near-term Noisy Intermediate-Scale Quantum (NISQ) computers. Achieving quantum advantages usually requires a large number of qubits and quantum circuits with enough capacity. However, limited coherence time and massive quantum noises severely constrain the size of quantum circuits that can… ▽ More

    Submitted 10 January, 2024; originally announced January 2024.

    Comments: IEEE International Conference on Quantum Computing and Engineering (QCE 2023)

  5. arXiv:2401.05339  [pdf, other

    cs.CV cs.GR

    MicroGlam: Microscopic Skin Image Dataset with Cosmetics

    Authors: Toby Chong, Alina Chadwick, I-chao Shen, Haoran Xie, Takeo Igarashi

    Abstract: In this paper, we present a cosmetic-specific skin image dataset. It consists of skin images from $45$ patches ($5$ skin patches each from $9$ participants) of size $8mm^*8mm$ under three cosmetic products (i.e., foundation, blusher, and highlighter). We designed a novel capturing device inspired by Light Stage. Using the device, we captured over $600$ images of each skin patch under diverse light… ▽ More

    Submitted 28 November, 2023; originally announced January 2024.

    Comments: Project Page: https://github.com/tobyclh/MicroGlam

  6. arXiv:2311.16214  [pdf, other

    quant-ph cs.AR cs.ET cs.LG

    DGR: Tackling Drifted and Correlated Noise in Quantum Error Correction via Decoding Graph Re-weighting

    Authors: Hanrui Wang, Pengyu Liu, Yilian Liu, Jiaqi Gu, Jonathan Baker, Frederic T. Chong, Song Han

    Abstract: Quantum hardware suffers from high error rates and noise, which makes directly running applications on them ineffective. Quantum Error Correction (QEC) is a critical technique towards fault tolerance which encodes the quantum information distributively in multiple data qubits and uses syndrome qubits to check parity. Minimum-Weight-Perfect-Matching (MWPM) is a popular QEC decoder that takes the sy… ▽ More

    Submitted 22 April, 2024; v1 submitted 27 November, 2023; originally announced November 2023.

    Comments: 13 pages, 19 figures

  7. arXiv:2311.16035  [pdf, other

    quant-ph cs.AI cs.AR cs.LG

    RobustState: Boosting Fidelity of Quantum State Preparation via Noise-Aware Variational Training

    Authors: Hanrui Wang, Yilian Liu, Pengyu Liu, Jiaqi Gu, Zirui Li, Zhiding Liang, **glei Cheng, Yongshan Ding, Xuehai Qian, Yiyu Shi, David Z. Pan, Frederic T. Chong, Song Han

    Abstract: Quantum state preparation, a crucial subroutine in quantum computing, involves generating a target quantum state from initialized qubits. Arbitrary state preparation algorithms can be broadly categorized into arithmetic decomposition (AD) and variational quantum state preparation (VQSP). AD employs a predefined procedure to decompose the target state into a series of gates, whereas VQSP iterativel… ▽ More

    Submitted 27 November, 2023; originally announced November 2023.

    Comments: Accepted to FASTML @ ICCAD 2023. 14 pages, 20 figures

  8. arXiv:2307.14996  [pdf, other

    quant-ph cs.AR cs.ET

    Decomposing and Routing Quantum Circuits Under Constraints for Neutral Atom Architectures

    Authors: Natalia Nottingham, Michael A. Perlin, Ryan White, Hannes Bernien, Frederic T. Chong, Jonathan M. Baker

    Abstract: Quantum computing is in an era defined by rapidly evolving quantum hardware technologies, combined with persisting high gate error rates, large amounts of noise, and short coherence times. Overcoming these limitations requires systems-level approaches that account for the strengths and weaknesses of the underlying hardware technology. Yet few hardware-aware compiler techniques exist for neutral at… ▽ More

    Submitted 27 July, 2023; originally announced July 2023.

    Comments: 13 pages, 12 figures

  9. arXiv:2307.14459  [pdf, other

    quant-ph cs.LG

    Training Quantum Boltzmann Machines with Coresets

    Authors: Joshua Viszlai, Teague Tomesh, Pranav Gokhale, Eric Anschuetz, Frederic T. Chong

    Abstract: Recent work has proposed and explored using coreset techniques for quantum algorithms that operate on classical data sets to accelerate the applicability of these algorithms on near-term quantum devices. We apply these ideas to Quantum Boltzmann Machines (QBM) where gradient-based steps which require Gibbs state sampling are the main computational bottleneck during training. By using a coreset in… ▽ More

    Submitted 26 July, 2023; originally announced July 2023.

    Comments: Appeared in IEEE International Conference on Quantum Computing and Engineering (QCE22) in September 2022

  10. arXiv:2307.13460  [pdf, other

    quant-ph cs.AI cs.LG stat.ML

    Fundamental causal bounds of quantum random access memories

    Authors: Yunfei Wang, Yuri Alexeev, Liang Jiang, Frederic T. Chong, Junyu Liu

    Abstract: Quantum devices should operate in adherence to quantum physics principles. Quantum random access memory (QRAM), a fundamental component of many essential quantum algorithms for tasks such as linear algebra, data search, and machine learning, is often proposed to offer $\mathcal{O}(\log N)$ circuit depth for $\mathcal{O}(N)$ data size, given $N$ qubits. However, this claim appears to breach the pri… ▽ More

    Submitted 25 July, 2023; originally announced July 2023.

    Comments: 8+24=32 pages, many figures

  11. arXiv:2306.15020  [pdf, other

    quant-ph cs.AR cs.ET

    Clifford Assisted Optimal Pass Selection for Quantum Transpilation

    Authors: Siddharth Dangwal, Gokul Subramanian Ravi, Lennart Maximilian Seifert, Frederic T. Chong

    Abstract: The fidelity of quantum programs in the NISQ era is limited by high levels of device noise. To increase the fidelity of quantum programs running on NISQ devices, a variety of optimizations have been proposed. These include map** passes, routing passes, scheduling methods and standalone optimisations which are usually incorporated into a transpiler as passes. Popular transpilers such as those pro… ▽ More

    Submitted 26 June, 2023; originally announced June 2023.

  12. arXiv:2306.06027  [pdf, other

    quant-ph cs.AR cs.ET

    VarSaw: Application-tailored Measurement Error Mitigation for Variational Quantum Algorithms

    Authors: Siddharth Dangwal, Gokul Subramanian Ravi, Poulami Das, Kaitlin N. Smith, Jonathan M. Baker, Frederic T. Chong

    Abstract: For potential quantum advantage, Variational Quantum Algorithms (VQAs) need high accuracy beyond the capability of today's NISQ devices, and thus will benefit from error mitigation. In this work we are interested in mitigating measurement errors which occur during qubit measurements after circuit execution and tend to be the most error-prone operations, especially detrimental to VQAs. Prior work,… ▽ More

    Submitted 29 February, 2024; v1 submitted 9 June, 2023; originally announced June 2023.

    Comments: Appears at the International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS) 2024. First two authors contributed equally

  13. arXiv:2305.03243  [pdf, other

    quant-ph cs.AR

    Microarchitectures for Heterogeneous Superconducting Quantum Computers

    Authors: Samuel Stein, Sara Sussman, Teague Tomesh, Charles Guinn, Esin Tureci, Sophia Fuhui Lin, Wei Tang, James Ang, Srivatsan Chakram, Ang Li, Margaret Martonosi, Fred T. Chong, Andrew A. Houck, Isaac L. Chuang, Michael Austin DeMarco

    Abstract: Noisy Intermediate-Scale Quantum Computing (NISQ) has dominated headlines in recent years, with the longer-term vision of Fault-Tolerant Quantum Computation (FTQC) offering significant potential albeit at currently intractable resource costs and quantum error correction (QEC) overheads. For problems of interest, FTQC will require millions of physical qubits with long coherence times, high-fidelity… ▽ More

    Submitted 4 May, 2023; originally announced May 2023.

  14. Dancing the Quantum Waltz: Compiling Three-Qubit Gates on Four Level Architectures

    Authors: Andrew Litteken, Lennart Maximilian Seifert, Jason D. Chadwick, Natalia Nottingham, Tanay Roy, Ziqian Li, David Schuster, Frederic T. Chong, Jonathan M. Baker

    Abstract: Superconducting quantum devices are a leading technology for quantum computation, but they suffer from several challenges. Gate errors, coherence errors and a lack of connectivity all contribute to low fidelity results. In particular, connectivity restrictions enforce a gate set that requires three-qubit gates to be decomposed into one- or two-qubit gates. This substantially increases the number o… ▽ More

    Submitted 27 February, 2024; v1 submitted 24 March, 2023; originally announced March 2023.

    Comments: 14 pages, 9 figures, to be published at ISCA 2023

  15. arXiv:2303.10546  [pdf, other

    cs.HC cs.CY

    Supporting Piggybacked Co-Located Leisure Activities via Augmented Reality

    Authors: Samantha Reig, Erica Principe Cruz, Melissa M. Powers, Jennifer He, Timothy Chong, Yu Jiang Tham, Sven Kratz, Ava Robinson, Brian A. Smith, Rajan Vaish, Andrés Monroy-Hernández

    Abstract: Technology, especially the smartphone, is villainized for taking meaning and time away from in-person interactions and secluding people into "digital bubbles". We believe this is not an intrinsic property of digital gadgets, but evidence of a lack of imagination in technology design. Leveraging augmented reality (AR) toward this end allows us to create experiences for multiple people, their pets,… ▽ More

    Submitted 18 March, 2023; originally announced March 2023.

  16. arXiv:2303.02131  [pdf, other

    quant-ph cs.CC cs.LG

    Spacetime-Efficient Low-Depth Quantum State Preparation with Applications

    Authors: Kaiwen Gui, Alexander M. Dalzell, Alessandro Achille, Martin Suchara, Frederic T. Chong

    Abstract: We propose a novel deterministic method for preparing arbitrary quantum states. When our protocol is compiled into CNOT and arbitrary single-qubit gates, it prepares an $N$-dimensional state in depth $O(\log(N))$ and spacetime allocation (a metric that accounts for the fact that oftentimes some ancilla qubits need not be active for the entire circuit) $O(N)$, which are both optimal. When compiled… ▽ More

    Submitted 9 February, 2024; v1 submitted 3 March, 2023; originally announced March 2023.

    Journal ref: Quantum 8, 1257 (2024)

  17. arXiv:2303.00658  [pdf, other

    quant-ph cs.AR cs.ET

    Qompress: Efficient Compilation for Ququarts Exploiting Partial and Mixed Radix Operations for Communication Reduction

    Authors: Andrew Litteken, Lennart Maximilian Seifert, Jason Chadwick, Natalia Nottingham, Fredric T. Chong, Jonathan M. Baker

    Abstract: Quantum computing is in an era of limited resources. Current hardware lacks high fidelity gates, long coherence times, and the number of computational units required to perform meaningful computation. Contemporary quantum devices typically use a binary system, where each qubit exists in a superposition of the $\ket{0}$ and $\ket{1}$ states. However, it is often possible to access the $\ket{2}$ or… ▽ More

    Submitted 2 March, 2023; v1 submitted 1 March, 2023; originally announced March 2023.

    Comments: 14 pages, 13 figures, 1 table, to be published at ASPLOS 2023

    Journal ref: ASPLOS 2023: Proceedings of the 28th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, Volume 2, January 2023, Pages 646-659

  18. QContext: Context-Aware Decomposition for Quantum Gates

    Authors: Ji Liu, Max Bowman, Pranav Gokhale, Siddharth Dangwal, Jeffrey Larson, Frederic T. Chong, Paul D. Hovland

    Abstract: In this paper we propose QContext, a new compiler structure that incorporates context-aware and topology-aware decompositions. Because of circuit equivalence rules and resynthesis, variants of a gate-decomposition template may exist. QContext exploits the circuit information and the hardware topology to select the gate variant that increases circuit optimization opportunities. We study the basis-g… ▽ More

    Submitted 3 February, 2023; originally announced February 2023.

    Comments: 10 pages

  19. Efficient control pulses for continuous quantum gate families through coordinated re-optimization

    Authors: Jason D. Chadwick, Frederic T. Chong

    Abstract: We present a general method to quickly generate high-fidelity control pulses for any continuously-parameterized set of quantum gates after calibrating a small number of reference pulses. We find that interpolating between optimized control pulses for different quantum operations does not immediately yield a high-fidelity intermediate operation. To solve this problem, we propose a method to optimiz… ▽ More

    Submitted 31 July, 2023; v1 submitted 3 February, 2023; originally announced February 2023.

    Comments: 9 pages, 6 figures, 2 tables; appearing in QCE 2023

  20. arXiv:2211.16469  [pdf, other

    quant-ph cs.AR cs.ET

    Communication Trade Offs in Intermediate Qudit Circuits

    Authors: Andrew Litteken, Jonathan M. Baker, Frederic T. Chong

    Abstract: Quantum computing promises speedup of classical algorithms in the long term. Current hardware is unable to support this goal and programs must be efficiently compiled to use of the devices through reduction of qubits used, gate count and circuit duration. Many quantum systems have access to higher levels, expanding the computational space for a device. We develop higher level qudit communication… ▽ More

    Submitted 29 November, 2022; originally announced November 2022.

    Comments: 7 pages, 9 Figures, In ISVML22: 2022 IEEE 52nd International Symposium on Multiple-Valued Logic

  21. arXiv:2211.15757  [pdf, other

    quant-ph cs.AR cs.ET

    Reducing Runtime Overhead via Use-Based Migration in Neutral Atom Quantum Architectures

    Authors: Andrew Litteken, Jonathan M. Baker, Frederic T. Chong

    Abstract: Neutral atoms are a promising choice for scalable quantum computing architectures. Features such as long distance interactions and native multiqubit gates offer reductions in communication costs and operation count. However, the trapped atoms used as qubits can be lost over the course of computation and due to adverse environmental factors. The value of a lost computation qubit cannot be recovered… ▽ More

    Submitted 28 November, 2022; originally announced November 2022.

    Comments: 11 pages, 11 Figures, In QCE22: 2022 IEEE International Conference on Quantum Computing & Engineering

  22. arXiv:2211.12711  [pdf, other

    quant-ph cs.AI cs.AR cs.LG eess.SY

    SnCQA: A hardware-efficient equivariant quantum convolutional circuit architecture

    Authors: Han Zheng, Christopher Kang, Gokul Subramanian Ravi, Hanrui Wang, Kanav Setia, Frederic T. Chong, Junyu Liu

    Abstract: We propose SnCQA, a set of hardware-efficient variational circuits of equivariant quantum convolutional circuits respective to permutation symmetries and spatial lattice symmetries with the number of qubits $n$. By exploiting permutation symmetries of the system, such as lattice Hamiltonians common to many quantum many-body and quantum chemistry problems, Our quantum neural networks are suitable f… ▽ More

    Submitted 22 September, 2023; v1 submitted 23 November, 2022; originally announced November 2022.

    Comments: 10 pages, many figures. IEEE QCE 2023, 1st best paper award in quantum algorithms

    Journal ref: 2023 IEEE International Conference on Quantum Computing and Engineering (QCE), 2023, pp. 236-245

  23. arXiv:2210.16724  [pdf, other

    quant-ph cs.AI cs.AR cs.ET cs.LG

    QuEst: Graph Transformer for Quantum Circuit Reliability Estimation

    Authors: Hanrui Wang, Pengyu Liu, **glei Cheng, Zhiding Liang, Jiaqi Gu, Zirui Li, Yongshan Ding, Weiwen Jiang, Yiyu Shi, Xuehai Qian, David Z. Pan, Frederic T. Chong, Song Han

    Abstract: Among different quantum algorithms, PQC for QML show promises on near-term devices. To facilitate the QML and PQC research, a recent python library called TorchQuantum has been released. It can construct, simulate, and train PQC for machine learning tasks with high speed and convenient debugging supports. Besides quantum for ML, we want to raise the community's attention on the reversed direction:… ▽ More

    Submitted 29 October, 2022; originally announced October 2022.

    Comments: ICCAD 2022; 10 pages, 10 figures; code at https://github.com/mit-han-lab/torchquantum

  24. arXiv:2210.15876  [pdf, ps, other

    eess.AS cs.CL cs.SD

    Random Utterance Concatenation Based Data Augmentation for Improving Short-video Speech Recognition

    Authors: Yist Y. Lin, Tao Han, Haihua Xu, Van Tung Pham, Yerbolat Khassanov, Tze Yuang Chong, Yi He, Lu Lu, Zejun Ma

    Abstract: One of limitations in end-to-end automatic speech recognition (ASR) framework is its performance would be compromised if train-test utterance lengths are mismatched. In this paper, we propose an on-the-fly random utterance concatenation (RUC) based data augmentation method to alleviate train-test utterance length mismatch issue for short-video ASR task. Specifically, we are motivated by observatio… ▽ More

    Submitted 25 May, 2023; v1 submitted 27 October, 2022; originally announced October 2022.

    Comments: 5 pages, 3 figures, 4 tables

  25. arXiv:2209.12280  [pdf, other

    quant-ph cs.AR eess.SY

    Navigating the dynamic noise landscape of variational quantum algorithms with QISMET

    Authors: Gokul Subramanian Ravi, Kaitlin N. Smith, Jonathan M. Baker, Tejas Kannan, Nathan Earnest, Ali Javadi-Abhari, Henry Hoffmann, Frederic T. Chong

    Abstract: Transient errors from the dynamic NISQ noise landscape are challenging to comprehend and are especially detrimental to classes of applications that are iterative and/or long-running, and therefore their timely mitigation is important for quantum advantage in real-world applications. The most popular examples of iterative long-running quantum applications are variational quantum algorithms (VQAs).… ▽ More

    Submitted 29 September, 2023; v1 submitted 25 September, 2022; originally announced September 2022.

    Comments: Appears at the 28th Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS 2023)

  26. arXiv:2208.08547  [pdf, other

    quant-ph cs.AR

    Better Than Worst-Case Decoding for Quantum Error Correction

    Authors: Gokul Subramanian Ravi, Jonathan M. Baker, Arash Fayyazi, Sophia Fuhui Lin, Ali Javadi-Abhari, Massoud Pedram, Frederic T. Chong

    Abstract: The overheads of classical decoding for quantum error correction on superconducting quantum systems grow rapidly with the number of logical qubits and their correction code distance. Decoding at room temperature is bottle-necked by refrigerator I/O bandwidth while cryogenic on-chip decoding is limited by area/power/thermal budget. To overcome these overheads, we are motivated by the observation… ▽ More

    Submitted 25 October, 2022; v1 submitted 17 August, 2022; originally announced August 2022.

    Comments: To appear at the 28th Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS 2023)

  27. arXiv:2207.07771  [pdf, other

    cs.HC

    Auggie: Encouraging Effortful Communication through Handcrafted Digital Experiences

    Authors: Lei Zhang, Tianying Chen, Olivia Seow, Tim Chong, Sven Kratz, Yu Jiang Tham, Andrés Monroy-Hernández, Rajan Vaish, Fannie Liu

    Abstract: Digital communication is often brisk and automated. From auto-completed messages to "likes," research has shown that such lightweight interactions can affect perceptions of authenticity and closeness. On the other hand, effort in relationships can forge emotional bonds by conveying a sense of caring and is essential in building and maintaining relationships. To explore effortful communication, we… ▽ More

    Submitted 15 July, 2022; originally announced July 2022.

    Comments: To appear at the 25th ACM Conference On Computer-Supported Cooperative Work And Social Computing (CSCW '22). 25 pages

  28. arXiv:2205.00661  [pdf, other

    cs.PL quant-ph

    Giallar: Push-Button Verification for the Qiskit Quantum Compiler

    Authors: Runzhou Tao, Yunong Shi, Jianan Yao, Xupeng Li, Ali Javadi-Abhari, Andrew W. Cross, Frederic T. Chong, Ronghui Gu

    Abstract: This paper presents Giallar, a fully-automated verification toolkit for quantum compilers. Giallar requires no manual specifications, invariants, or proofs, and can automatically verify that a compiler pass preserves the semantics of quantum circuits. To deal with unbounded loops in quantum compilers, Giallar abstracts three loop templates, whose loop invariants can be automatically inferred. To e… ▽ More

    Submitted 2 May, 2022; originally announced May 2022.

    Comments: PLDI 2022; Improves arXiv:1908.08963

  29. arXiv:2203.13260  [pdf, other

    quant-ph cs.DC

    Adaptive job and resource management for the growing quantum cloud

    Authors: Gokul Subramanian Ravi, Kaitlin N. Smith, Prakash Murali, Frederic T. Chong

    Abstract: As the popularity of quantum computing continues to grow, efficient quantum machine access over the cloud is critical to both academic and industry researchers across the globe. And as cloud quantum computing demands increase exponentially, the analysis of resource consumption and execution characteristics are key to efficient management of jobs and resources at both the vendor-end as well as the… ▽ More

    Submitted 24 March, 2022; originally announced March 2022.

    Comments: Appeared at the 2021 IEEE International Conference on Quantum Computing and Engineering. arXiv admin note: text overlap with arXiv:2203.13121. substantial text overlap with arXiv:2203.13121

  30. arXiv:2203.13121  [pdf, other

    quant-ph cs.PF

    Quantum Computing in the Cloud: Analyzing job and machine characteristics

    Authors: Gokul Subramanian Ravi, Kaitlin N. Smith, Pranav Gokhale, Frederic T. Chong

    Abstract: As the popularity of quantum computing continues to grow, quantum machine access over the cloud is critical to both academic and industry researchers across the globe. And as cloud quantum computing demands increase exponentially, the analysis of resource consumption and execution characteristics are key to efficient management of jobs and resources at both the vendor-end as well as the client-end… ▽ More

    Submitted 24 March, 2022; originally announced March 2022.

    Comments: Appeared at the 2021 IEEE International Symposium on Workload Characterization

  31. arXiv:2203.12713  [pdf, other

    quant-ph cs.ET

    Optimized Quantum Program Execution Ordering to Mitigate Errors in Simulations of Quantum Systems

    Authors: Teague Tomesh, Kaiwen Gui, Pranav Gokhale, Yunong Shi, Frederic T. Chong, Margaret Martonosi, Martin Suchara

    Abstract: Simulating the time evolution of a physical system at quantum mechanical levels of detail -- known as Hamiltonian Simulation (HS) -- is an important and interesting problem across physics and chemistry. For this task, algorithms that run on quantum computers are known to be exponentially faster than classical algorithms; in fact, this application motivated Feynman to propose the construction of qu… ▽ More

    Submitted 23 March, 2022; originally announced March 2022.

    Comments: 13 pages, 7 figures, Awarded Best Paper during the IEEE International Conference on Rebooting Computing (ICRC) 2021

  32. arXiv:2202.12924  [pdf, other

    quant-ph cs.AR

    CAFQA: A classical simulation bootstrap for variational quantum algorithms

    Authors: Gokul Subramanian Ravi, Pranav Gokhale, Yi Ding, William M. Kirby, Kaitlin N. Smith, Jonathan M. Baker, Peter J. Love, Henry Hoffmann, Kenneth R. Brown, Frederic T. Chong

    Abstract: This work tackles the problem of finding a good ansatz initialization for Variational Quantum Algorithms (VQAs), by proposing CAFQA, a Clifford Ansatz For Quantum Accuracy. The CAFQA ansatz is a hardware-efficient circuit built with only Clifford gates. In this ansatz, the parameters for the tunable gates are chosen by searching efficiently through the Clifford parameter space via classical simula… ▽ More

    Submitted 29 September, 2023; v1 submitted 25 February, 2022; originally announced February 2022.

    Comments: Appears at the 28th Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS 2023). Previous title - CAFQA: Clifford Ansatz For Quantum Accuracy. Paper revised to ASPLOS requirements, added additional improvements to the CAFQA framework / evaluation. Added preliminary exploration on CAFQA with T gates

  33. arXiv:2202.11045  [pdf, other

    quant-ph cs.AR

    SupermarQ: A Scalable Quantum Benchmark Suite

    Authors: Teague Tomesh, Pranav Gokhale, Victory Omole, Gokul Subramanian Ravi, Kaitlin N. Smith, Joshua Viszlai, Xin-Chuan Wu, Nikos Hardavellas, Margaret R. Martonosi, Frederic T. Chong

    Abstract: The emergence of quantum computers as a new computational paradigm has been accompanied by speculation concerning the scope and timeline of their anticipated revolutionary changes. While quantum computing is still in its infancy, the variety of different architectures used to implement quantum computations make it difficult to reliably measure and compare performance. This problem motivates our in… ▽ More

    Submitted 27 April, 2022; v1 submitted 22 February, 2022; originally announced February 2022.

    Comments: 17 pages, 4 figures, Awarded Best Paper during the 28th IEEE International Symposium on High-Performance Computer Architecture (HPCA-28), Seoul, South Korea

  34. arXiv:2201.08825  [pdf, other

    quant-ph cs.AR cs.DC

    Modeling Short-Range Microwave Networks to Scale Superconducting Quantum Computation

    Authors: Nicholas LaRacuente, Kaitlin N. Smith, Poolad Imany, Kevin L. Silverman, Frederic T. Chong

    Abstract: A core challenge for superconducting quantum computers is to scale up the number of qubits in each processor without increasing noise or cross-talk. Distributed quantum computing across small qubit arrays, known as chiplets, can address these challenges in a scalable manner. We propose a chiplet architecture over microwave links with potential to exceed monolithic performance on near-term hardware… ▽ More

    Submitted 5 January, 2023; v1 submitted 21 January, 2022; originally announced January 2022.

    Comments: 23 pages, 11 figures

  35. arXiv:2111.06469  [pdf, other

    quant-ph cs.AR cs.ET

    Exploiting Long-Distance Interactions and Tolerating Atom Loss in Neutral Atom Quantum Architectures

    Authors: Jonathan M. Baker, Andrew Litteken, Casey Duckering, Henry Hoffman, Hannes Bernien, Frederic T. Chong

    Abstract: Quantum technologies currently struggle to scale beyond moderate scale prototypes and are unable to execute even reasonably sized programs due to prohibitive gate error rates or coherence times. Many software approaches rely on heavy compiler optimization to squeeze extra value from noisy machines but are fundamentally limited by hardware. Alone, these software approaches help to maximize the use… ▽ More

    Submitted 11 November, 2021; originally announced November 2021.

    Comments: 14 pages, 14 figures, In ISCA '21: The 48th International Symposium on Computer Architecture

  36. arXiv:2110.11331  [pdf, other

    cs.LG cs.AI quant-ph

    QuantumNAT: Quantum Noise-Aware Training with Noise Injection, Quantization and Normalization

    Authors: Hanrui Wang, Jiaqi Gu, Yongshan Ding, Zirui Li, Frederic T. Chong, David Z. Pan, Song Han

    Abstract: Parameterized Quantum Circuits (PQC) are promising towards quantum advantage on near-term quantum hardware. However, due to the large quantum noises (errors), the performance of PQC models has a severe degradation on real quantum devices. Take Quantum Neural Network (QNN) as an example, the accuracy gap between noise-free simulation and noisy results on IBMQ-Yorktown for MNIST-4 classification is… ▽ More

    Submitted 13 June, 2023; v1 submitted 21 October, 2021; originally announced October 2021.

    Comments: Published as a conference paper at DAC 2022; 10 pages, 9 figures; TorchQuantum open-source at https://github.com/mit-han-lab/torchquantum

  37. arXiv:2109.04654  [pdf, other

    cs.GR cs.CV

    Per Garment Capture and Synthesis for Real-time Virtual Try-on

    Authors: Toby Chong, I-Chao Shen, Nobuyuki Umetani, Takeo Igarashi

    Abstract: Virtual try-on is a promising application of computer graphics and human computer interaction that can have a profound real-world impact especially during this pandemic. Existing image-based works try to synthesize a try-on image from a single image of a target garment, but it inherently limits the ability to react to possible interactions. It is difficult to reproduce the change of wrinkles cause… ▽ More

    Submitted 9 September, 2021; originally announced September 2021.

    Comments: Accepted to UIST2021. Project page: https://sites.google.com/view/deepmannequin/home

  38. arXiv:2109.00133  [pdf, other

    cs.HC cs.RO

    AugLimb: Compact Robotic Limb for Human Augmentation

    Authors: Zeyu Ding, Shogo Yoshida, Toby Chong, Tsukasa Fukusato, Takuma Torii, Haoran Xie

    Abstract: This work proposes a compact robotic limb, AugLimb, that can augment our body functions and support the daily activities. AugLimb adopts the double-layer scissor unit for the extendable mechanism which can achieve 2.5 times longer than the forearm length. The proposed device can be mounted on the user's upper arm, and transform into compact state without obstruction to wearers. The proposed device… ▽ More

    Submitted 31 August, 2021; originally announced September 2021.

    Comments: 2 pages, 3 figures

  39. arXiv:2107.10845  [pdf, other

    quant-ph cs.AR cs.LG

    QuantumNAS: Noise-Adaptive Search for Robust Quantum Circuits

    Authors: Hanrui Wang, Yongshan Ding, Jiaqi Gu, Zirui Li, Yujun Lin, David Z. Pan, Frederic T. Chong, Song Han

    Abstract: Quantum noise is the key challenge in Noisy Intermediate-Scale Quantum (NISQ) computers. Previous work for mitigating noise has primarily focused on gate-level or pulse-level noise-adaptive compilation. However, limited research efforts have explored a higher level of optimization by making the quantum circuits themselves resilient to noise. We propose QuantumNAS, a comprehensive framework for n… ▽ More

    Submitted 6 January, 2022; v1 submitted 22 July, 2021; originally announced July 2021.

    Comments: Published as a conference paper in HPCA 2022. 19 pages, 22 figures. TorchQuantum Code available at https://github.com/mit-han-lab/torchquantum

  40. arXiv:2104.06349  [pdf, other

    cs.PL quant-ph

    Gleipnir: Toward Practical Error Analysis for Quantum Programs (Extended Version)

    Authors: Runzhou Tao, Yunong Shi, Jianan Yao, John Hui, Frederic T. Chong, Ronghui Gu

    Abstract: Practical error analysis is essential for the design, optimization, and evaluation of Noisy Intermediate-Scale Quantum(NISQ) computing. However, bounding errors in quantum programs is a grand challenge, because the effects of quantum errors depend on exponentially large quantum states. In this work, we present Gleipnir, a novel methodology toward practically computing verified error bounds in quan… ▽ More

    Submitted 19 April, 2021; v1 submitted 13 April, 2021; originally announced April 2021.

    Comments: typos corrected

  41. arXiv:2104.01572  [pdf, other

    cs.CL

    TransfoRNN: Capturing the Sequential Information in Self-Attention Representations for Language Modeling

    Authors: Tze Yuang Chong, Xuyang Wang, Lin Yang, Junjie Wang

    Abstract: In this paper, we describe the use of recurrent neural networks to capture sequential information from the self-attention representations to improve the Transformers. Although self-attention mechanism provides a means to exploit long context, the sequential information, i.e. the arrangement of tokens, is not explicitly captured. We propose to cascade the recurrent neural networks to the Transforme… ▽ More

    Submitted 4 April, 2021; originally announced April 2021.

    Comments: INTERSPEECH 2021 (under reviewed)

  42. arXiv:2103.04544  [pdf, other

    cs.HC cs.CV

    Exploring a Makeup Support System for Transgender Passing based on Automatic Gender Recognition

    Authors: Toby Chong, Nolwenn Maudet, Katsuki Harima, Takeo Igarashi

    Abstract: How to handle gender with machine learning is a controversial topic. A growing critical body of research brought attention to the numerous issues transgender communities face with the adoption of current automatic gender recognition (AGR) systems. In contrast, we explore how such technologies could potentially be appropriated to support transgender practices and needs, especially in non-Western co… ▽ More

    Submitted 7 March, 2021; originally announced March 2021.

    Comments: Accepted to CHI2021. Project Page: https://sites.google.com/view/flyingcolor

  43. Orchestrated Trios: Compiling for Efficient Communication in Quantum Programs with 3-Qubit Gates

    Authors: Casey Duckering, Jonathan M. Baker, Andrew Litteken, Frederic T. Chong

    Abstract: Current quantum computers are especially error prone and require high levels of optimization to reduce operation counts and maximize the probability the compiled program will succeed. These computers only support operations decomposed into one- and two-qubit gates and only two-qubit gates between physically connected pairs of qubits. Typical compilers first decompose operations, then route data to… ▽ More

    Submitted 16 February, 2021; originally announced February 2021.

    Comments: In ASPLOS '21: 26th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, 12 pages, 12 figures

  44. arXiv:2009.01982  [pdf, other

    quant-ph cs.AR cs.ET

    Virtualized Logical Qubits: A 2.5D Architecture for Error-Corrected Quantum Computing

    Authors: Casey Duckering, Jonathan M. Baker, David I. Schuster, Frederic T. Chong

    Abstract: Current, near-term quantum devices have shown great progress in recent years culminating with a demonstration of quantum supremacy. In the medium-term, however, quantum machines will need to transition to greater reliability through error correction, likely through promising techniques such as surface codes which are well suited for near-term devices with limited qubit connectivity. We discover qu… ▽ More

    Submitted 3 September, 2020; originally announced September 2020.

    Comments: 12 pages, 13 figures, In MICRO '20: 53rd IEEE/ACM International Symposium on Microarchitecture

  45. Time-Sliced Quantum Circuit Partitioning for Modular Architectures

    Authors: Jonathan M. Baker, Casey Duckering, Alexander Hoover, Frederic T. Chong

    Abstract: Current quantum computer designs will not scale. To scale beyond small prototypes, quantum architectures will likely adopt a modular approach with clusters of tightly connected quantum bits and sparser connections between clusters. We exploit this clustering and the statically-known control flow of quantum programs to create tractable partitioning heuristics which map quantum circuits to modular p… ▽ More

    Submitted 25 May, 2020; originally announced May 2020.

    Comments: Appears in CF'20: ACM International Conference on Computing Frontiers

    Journal ref: 17th ACM International Conference on Computing Frontiers (2020)

  46. arXiv:2004.14970  [pdf, other

    quant-ph cs.LG

    Coreset Clustering on Small Quantum Computers

    Authors: Teague Tomesh, Pranav Gokhale, Eric R. Anschuetz, Frederic T. Chong

    Abstract: Many quantum algorithms for machine learning require access to classical data in superposition. However, for many natural data sets and algorithms, the overhead required to load the data set in superposition can erase any potential quantum speedup over classical algorithms. Recent work by Harrow introduces a new paradigm in hybrid quantum-classical computing to address this issue, relying on cores… ▽ More

    Submitted 30 April, 2020; originally announced April 2020.

  47. arXiv:2002.10592  [pdf, other

    quant-ph cs.ET

    Efficient Quantum Circuit Decompositions via Intermediate Qudits

    Authors: Jonathan M. Baker, Casey Duckering, Frederic T. Chong

    Abstract: Many quantum algorithms make use of ancilla, additional qubits used to store temporary information during computation, to reduce the total execution time. Quantum computers will be resource-constrained for years to come so reducing ancilla requirements is crucial. In this work, we give a method to generate ancilla out of idle qubits by placing some in higher-value states, called qudits. We show ho… ▽ More

    Submitted 24 February, 2020; originally announced February 2020.

    Comments: 6 pages, 4 figures, In ISMVL 2020: IEEE International Symposium on Multiple-Valued Logic

  48. arXiv:1908.08963  [pdf, other

    quant-ph cs.ET cs.PL

    CertiQ: A Mostly-automated Verification of a Realistic Quantum Compiler

    Authors: Yunong Shi, Runzhou Tao, Xupeng Li, Ali Javadi-Abhari, Andrew W. Cross, Frederic T. Chong, Ronghui Gu

    Abstract: We present CertiQ, a verification framework for writing and verifying compiler passes of Qiskit, the most widely-used quantum compiler. To our knowledge, CertiQ is the first effort enabling the verification of real-world quantum compiler passes in a mostly-automated manner. Compiler passes written in the CertiQ interface with annotations can be used to generate verification conditions, as well as… ▽ More

    Submitted 26 November, 2020; v1 submitted 23 August, 2019; originally announced August 2019.

  49. Asymptotic Improvements to Quantum Circuits via Qutrits

    Authors: Pranav Gokhale, Jonathan M. Baker, Casey Duckering, Natalie C. Brown, Kenneth R. Brown, Frederic T. Chong

    Abstract: Quantum computation is traditionally expressed in terms of quantum bits, or qubits. In this work, we instead consider three-level qu$trits$. Past work with qutrits has demonstrated only constant factor improvements, owing to the $\log_2(3)$ binary-to-ternary compression factor. We present a novel technique using qutrits to achieve a logarithmic depth (runtime) decomposition of the Generalized Toff… ▽ More

    Submitted 24 May, 2019; originally announced May 2019.

    Comments: In ISCA '19: 46th International Symposium on Computer Architecture, 13 pages, 11 figures

  50. arXiv:1904.01671  [pdf, ps, other

    quant-ph cs.ET

    Decomposing Quantum Generalized Toffoli with an Arbitrary Number of Ancilla

    Authors: Jonathan M. Baker, Casey Duckering, Alexander Hoover, Frederic T. Chong

    Abstract: We present a general decomposition of the Generalized Toffoli, and for completeness, the multi-target gate using an arbitrary number of clean or dirty ancilla. While prior work has shown how to decompose the Generalized Toffoli using 0, 1, or $O(n)$ many clean ancilla and 0, 1, and $n-2$ dirty ancilla, we provide a generalized algorithm to bridge the gap, i.e. this work gives an algorithm to gener… ▽ More

    Submitted 2 April, 2019; originally announced April 2019.

    Comments: 10 pages, 5 figures