Skip to main content

Showing 1–9 of 9 results for author: Carloni, L P

Searching in archive cs. Search in all archives.
.
  1. arXiv:2206.01901  [pdf, other

    cs.AR

    Enabling Heterogeneous, Multicore SoC Research with RISC-V and ESP

    Authors: Joseph Zuckerman, Paolo Mantovani, Davide Giri, Luca P. Carloni

    Abstract: Heterogeneous, multicore SoC architectures are a critical component of today's computing landscape. However, supporting both increasing heterogeneity and multicore execution are significant design challenges. Meanwhile, the growing RISC-V and open-source hardware (OSH) movements have resulted in an increased number of open-source RISC-V processor implementations; however, there are fewer open sour… ▽ More

    Submitted 4 June, 2022; originally announced June 2022.

    Comments: To appear in the Sixth Workshop on Computer Architecture Research with RISC-V (CARRV 2022)

  2. Cohmeleon: Learning-Based Orchestration of Accelerator Coherence in Heterogeneous SoCs

    Authors: Joseph Zuckerman, Davide Giri, Jihye Kwon, Paolo Mantovani, Luca P. Carloni

    Abstract: One of the most critical aspects of integrating loosely-coupled accelerators in heterogeneous SoC architectures is orchestrating their interactions with the memory hierarchy, especially in terms of navigating the various cache-coherence options: from accelerators accessing off-chip memory directly, bypassing the cache hierarchy, to accelerators having their own private cache. By running real-size… ▽ More

    Submitted 13 September, 2021; originally announced September 2021.

    Comments: To appear in the 54th IEEE/ACM Symposium on Microarchitecture (MICRO 2021)

  3. arXiv:2103.05579  [pdf, other

    cs.LG cs.AR physics.ins-det

    hls4ml: An Open-Source Codesign Workflow to Empower Scientific Low-Power Machine Learning Devices

    Authors: Farah Fahim, Benjamin Hawks, Christian Herwig, James Hirschauer, Sergo **dariani, Nhan Tran, Luca P. Carloni, Giuseppe Di Guglielmo, Philip Harris, Jeffrey Krupa, Dylan Rankin, Manuel Blanco Valentin, Josiah Hester, Yingyi Luo, John Mamish, Seda Orgrenci-Memik, Thea Aarrestad, Hamza Javed, Vladimir Loncar, Maurizio Pierini, Adrian Alan Pol, Sioni Summers, Javier Duarte, Scott Hauck, Shih-Chieh Hsu , et al. (5 additional authors not shown)

    Abstract: Accessible machine learning algorithms, software, and diagnostic tools for energy-efficient devices and systems are extremely valuable across a broad range of application domains. In scientific domains, real-time near-sensor processing can drastically improve experimental design and accelerate scientific discoveries. To support domain scientists, we have developed hls4ml, an open-source software-h… ▽ More

    Submitted 23 March, 2021; v1 submitted 9 March, 2021; originally announced March 2021.

    Comments: 10 pages, 8 figures, TinyML Research Symposium 2021

    Report number: FERMILAB-CONF-21-080-SCD

  4. Agile SoC Development with Open ESP

    Authors: Paolo Mantovani, Davide Giri, Giuseppe Di Guglielmo, Luca Piccolboni, Joseph Zuckerman, Emilio G. Cota, Michele Petracca, Christian Pilato, Luca P. Carloni

    Abstract: ESP is an open-source research platform for heterogeneous SoC design. The platform combines a modular tile-based architecture with a variety of application-oriented flows for the design and optimization of accelerators. The ESP architecture is highly scalable and strikes a balance between regularity and specialization. The companion methodology raises the level of abstraction to system-level desig… ▽ More

    Submitted 2 September, 2020; originally announced September 2020.

    Comments: Invited Paper at the 2020 International Conference On Computer Aided Design (ICCAD) - Special Session on Opensource Tools and Platforms for Agile Development of Specialized Architectures

  5. CRYLOGGER: Detecting Crypto Misuses Dynamically

    Authors: Luca Piccolboni, Giuseppe Di Guglielmo, Luca P. Carloni, Simha Sethumadhavan

    Abstract: Cryptographic (crypto) algorithms are the essential ingredients of all secure systems: crypto hash functions and encryption algorithms, for example, can guarantee properties such as integrity and confidentiality. Developers, however, can misuse the application programming interfaces (API) of such algorithms by using constant keys and weak passwords. This paper presents CRYLOGGER, the first open-so… ▽ More

    Submitted 2 July, 2020; originally announced July 2020.

    Comments: To appear in the Proceedings of the IEEE Symposium on Security & Privacy (SP) 2021

  6. arXiv:2004.07415  [pdf, other

    cs.AR

    The MosaicSim Simulator (Full Technical Report)

    Authors: Opeoluwa Matthews, Aninda Manocha, Davide Giri, Marcelo Orenes-Vera, Esin Tureci, Tyler Sorensen, Tae Jun Ham, Juan L. Aragón, Luca P. Carloni, Margaret Martonosi

    Abstract: As Moore's Law has slowed and Dennard Scaling has ended, architects are increasingly turning to heterogeneous parallelism and domain-specific hardware-software co-designs. These trends present new challenges for simulation-based performance assessments that are central to early-stage architectural exploration. Simulators must be lightweight to support rich heterogeneous combinations of general pur… ▽ More

    Submitted 15 April, 2020; originally announced April 2020.

    Comments: This is a full technical report on the MosaicSim simulator. This version is a variation of the original ISPASS publication with additions describing the accuracy of MosaicSim's memory hierarchy performance modeling and additional hardware features, e.g. branch predictors. This technical report will be maintained as the MosaicSim developers continue to augment the simulator with more features

  7. ESP4ML: Platform-Based Design of Systems-on-Chip for Embedded Machine Learning

    Authors: Davide Giri, Kuan-Lin Chiu, Giuseppe Di Guglielmo, Paolo Mantovani, Luca P. Carloni

    Abstract: We present ESP4ML, an open-source system-level design flow to build and program SoC architectures for embedded applications that require the hardware acceleration of machine learning and signal processing algorithms. We realized ESP4ML by combining two established open-source projects (ESP and HLS4ML) into a new, fully-automated design flow. For the SoC integration of accelerators generated by HLS… ▽ More

    Submitted 18 June, 2020; v1 submitted 7 April, 2020; originally announced April 2020.

    Comments: Paper published in the proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition (DATE)

    Journal ref: Design, Automation and Test in Europe Conference & Exhibition (DATE), Grenoble, France, 2020, pp. 1049-1054

  8. PAGURUS: Low-Overhead Dynamic Information Flow Tracking on Loosely Coupled Accelerators

    Authors: Luca Piccolboni, Giuseppe Di Guglielmo, Luca P. Carloni

    Abstract: Software-based attacks exploit bugs or vulnerabilities to get unauthorized access or leak confidential information. Dynamic information flow tracking (DIFT) is a security technique to track spurious information flows and provide strong security guarantees against such attacks. To secure heterogeneous systems, the spurious information flows must be tracked through all their components, including pr… ▽ More

    Submitted 18 December, 2019; originally announced December 2019.

    Comments: Published in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD)

    Report number: IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. Volume 37 Number 11 (November 2018)

  9. COSMOS: Coordination of High-Level Synthesis and Memory Optimization for Hardware Accelerators

    Authors: Luca Piccolboni, Paolo Mantovani, Giuseppe Di Guglielmo, Luca P. Carloni

    Abstract: Hardware accelerators are key to the efficiency and performance of system-on-chip (SoC) architectures. With high-level synthesis (HLS), designers can easily obtain several performance-cost trade-off implementations for each component of a complex hardware accelerator. However, navigating this design space in search of the Pareto-optimal implementations at the system level is a hard optimization ta… ▽ More

    Submitted 18 December, 2019; originally announced December 2019.

    Comments: Published in ACM Transactions on Embedded Computing Systems (TECS)

    Journal ref: ACM Trans. Embed. Comput. Syst. 16, 5s, Article 150 (October 2017)