Skip to main content

Showing 1–16 of 16 results for author: Tuğrul, Y C

.
  1. arXiv:2406.16153  [pdf, other

    cs.AR cs.CR

    RowPress Vulnerability in Modern DRAM Chips

    Authors: Haocong Luo, Ataberk Olgun, A. Giray Yağlıkçı, Yahya Can Tuğrul, Steve Rhyner, Meryem Banu Cavlak, Joël Lindegger, Mohammad Sadrosadati, Onur Mutlu

    Abstract: Memory isolation is a critical property for system reliability, security, and safety. We demonstrate RowPress, a DRAM read disturbance phenomenon different from the well-known RowHammer. RowPress induces bitflips by kee** a DRAM row open for a long period of time instead of repeatedly opening and closing the row. We experimentally characterize RowPress bitflips, showing their widespread existenc… ▽ More

    Submitted 23 June, 2024; originally announced June 2024.

    Comments: To Appear in IEEE MICRO Top Picks Special Issue (July-August 2024). arXiv admin note: substantial text overlap with arXiv:2306.17061

  2. arXiv:2405.06081  [pdf, other

    cs.AR cs.DC

    Simultaneous Many-Row Activation in Off-the-Shelf DRAM Chips: Experimental Characterization and Analysis

    Authors: Ismail Emir Yuksel, Yahya Can Tugrul, F. Nisa Bostanci, Geraldo F. Oliveira, A. Giray Yaglikci, Ataberk Olgun, Melina Soysal, Haocong Luo, Juan Gómez-Luna, Mohammad Sadrosadati, Onur Mutlu

    Abstract: We experimentally analyze the computational capability of commercial off-the-shelf (COTS) DRAM chips and the robustness of these capabilities under various timing delays between DRAM commands, data patterns, temperature, and voltage levels. We extensively characterize 120 COTS DDR4 chips from two major manufacturers. We highlight four key results of our study. First, COTS DRAM chips are capable of… ▽ More

    Submitted 9 May, 2024; originally announced May 2024.

    Comments: To appear in DSN 2024

  3. arXiv:2404.13477  [pdf, other

    cs.CR cs.AR

    Leveraging Adversarial Detection to Enable Scalable and Low Overhead RowHammer Mitigations

    Authors: Oğuzhan Canpolat, A. Giray Yağlıkçı, Ataberk Olgun, İsmail Emir Yüksel, Yahya Can Tuğrul, Konstantinos Kanellopoulos, Oğuz Ergin, Onur Mutlu

    Abstract: RowHammer is a prime example of read disturbance in DRAM where repeatedly accessing (hammering) a row of DRAM cells (DRAM row) induces bitflips in other physically nearby DRAM rows. RowHammer solutions perform preventive actions (e.g., refresh neighbor rows of the hammered row) that mitigate such bitflips to preserve memory isolation, a fundamental building block of security and privacy in modern… ▽ More

    Submitted 20 April, 2024; originally announced April 2024.

  4. arXiv:2402.18769  [pdf, other

    cs.CR cs.AR

    CoMeT: Count-Min-Sketch-based Row Tracking to Mitigate RowHammer at Low Cost

    Authors: F. Nisa Bostanci, Ismail Emir Yuksel, Ataberk Olgun, Konstantinos Kanellopoulos, Yahya Can Tugrul, A. Giray Yaglikci, Mohammad Sadrosadati, Onur Mutlu

    Abstract: We propose a new RowHammer mitigation mechanism, CoMeT, that prevents RowHammer bitflips with low area, performance, and energy costs in DRAM-based systems at very low RowHammer thresholds. The key idea of CoMeT is to use low-cost and scalable hash-based counters to track DRAM row activations. CoMeT uses the Count-Min Sketch technique that maps each DRAM row to a group of counters, as uniquely as… ▽ More

    Submitted 28 February, 2024; originally announced February 2024.

    Comments: To appear at HPCA 2024

  5. arXiv:2402.18736  [pdf, other

    cs.AR cs.DC

    Functionally-Complete Boolean Logic in Real DRAM Chips: Experimental Characterization and Analysis

    Authors: Ismail Emir Yuksel, Yahya Can Tugrul, Ataberk Olgun, F. Nisa Bostanci, A. Giray Yaglikci, Geraldo F. Oliveira, Haocong Luo, Juan Gómez-Luna, Mohammad Sadrosadati, Onur Mutlu

    Abstract: Processing-using-DRAM (PuD) is an emerging paradigm that leverages the analog operational properties of DRAM circuitry to enable massively parallel in-DRAM computation. PuD has the potential to reduce or eliminate costly data movement between processing elements and main memory. Prior works experimentally demonstrate three-input MAJ (MAJ3) and two-input AND and OR operations in commercial off-the-… ▽ More

    Submitted 21 April, 2024; v1 submitted 28 February, 2024; originally announced February 2024.

    Comments: A shorter version of this work is to appear at the 30th IEEE International Symposium on High-Performance Computer Architecture (HPCA-30), 2024

  6. arXiv:2402.18652  [pdf, other

    cs.CR cs.AR

    Spatial Variation-Aware Read Disturbance Defenses: Experimental Analysis of Real DRAM Chips and Implications on Future Solutions

    Authors: Abdullah Giray Yağlıkçı, Yahya Can Tuğrul, Geraldo F. Oliveira, İsmail Emir Yüksel, Ataberk Olgun, Haocong Luo, Onur Mutlu

    Abstract: Read disturbance in modern DRAM chips is a widespread phenomenon and is reliably used for breaking memory isolation, a fundamental building block for building robust systems. RowHammer and RowPress are two examples of read disturbance in DRAM where repeatedly accessing (hammering) or kee** active (pressing) a memory location induces bitflips in other memory locations. Unfortunately, shrinking te… ▽ More

    Submitted 28 February, 2024; originally announced February 2024.

    Comments: A shorter version of this work is to appear at the 30th IEEE International Symposium on High-Performance Computer Architecture (HPCA-30), 2024

  7. arXiv:2312.02880  [pdf, other

    cs.AR cs.DC

    PULSAR: Simultaneous Many-Row Activation for Reliable and High-Performance Computing in Off-the-Shelf DRAM Chips

    Authors: Ismail Emir Yuksel, Yahya Can Tugrul, F. Nisa Bostanci, Abdullah Giray Yaglikci, Ataberk Olgun, Geraldo F. Oliveira, Melina Soysal, Haocong Luo, Juan Gomez Luna, Mohammad Sadrosadati, Onur Mutlu

    Abstract: Data movement between the processor and the main memory is a first-order obstacle against improving performance and energy efficiency in modern systems. To address this obstacle, Processing-using-Memory (PuM) is a promising approach where bulk-bitwise operations are performed leveraging intrinsic analog properties within the DRAM array and massive parallelism across DRAM columns. Unfortunately, 1)… ▽ More

    Submitted 18 March, 2024; v1 submitted 5 December, 2023; originally announced December 2023.

  8. arXiv:2310.14665  [pdf, other

    cs.CR cs.AR

    Read Disturbance in High Bandwidth Memory: A Detailed Experimental Study on HBM2 DRAM Chips

    Authors: Ataberk Olgun, Majd Osseiran, Abdullah Giray Yaglikci, Yahya Can Tugrul, Haocong Luo, Steve Rhyner, Behzad Salami, Juan Gomez Luna, Onur Mutlu

    Abstract: We experimentally demonstrate the effects of read disturbance (RowHammer and RowPress) and uncover the inner workings of undocumented read disturbance defense mechanisms in High Bandwidth Memory (HBM). Detailed characterization of six real HBM2 DRAM chips in two different FPGA boards shows that (1) the read disturbance vulnerability significantly varies between different HBM2 chips and between dif… ▽ More

    Submitted 2 May, 2024; v1 submitted 23 October, 2023; originally announced October 2023.

    Comments: To appear in DSN 2024

  9. arXiv:2310.09977  [pdf, other

    cs.CR cs.AR

    ABACuS: All-Bank Activation Counters for Scalable and Low Overhead RowHammer Mitigation

    Authors: Ataberk Olgun, Yahya Can Tugrul, Nisa Bostanci, Ismail Emir Yuksel, Haocong Luo, Steve Rhyner, Abdullah Giray Yaglikci, Geraldo F. Oliveira, Onur Mutlu

    Abstract: We introduce ABACuS, a new low-cost hardware-counter-based RowHammer mitigation technique that performance-, energy-, and area-efficiently scales with worsening RowHammer vulnerability. We observe that both benign workloads and RowHammer attacks tend to access DRAM rows with the same row address in multiple DRAM banks at around the same time. Based on this observation, ABACuS's key idea is to use… ▽ More

    Submitted 2 May, 2024; v1 submitted 15 October, 2023; originally announced October 2023.

    Comments: To appear in USENIX Security '24

  10. arXiv:2308.11030  [pdf, other

    cs.AR cs.CR

    Ramulator 2.0: A Modern, Modular, and Extensible DRAM Simulator

    Authors: Haocong Luo, Yahya Can Tuğrul, F. Nisa Bostancı, Ataberk Olgun, A. Giray Yağlıkçı, Onur Mutlu

    Abstract: We present Ramulator 2.0, a highly modular and extensible DRAM simulator that enables rapid and agile implementation and evaluation of design changes in the memory controller and DRAM to meet the increasing research effort in improving the performance, security, and reliability of memory systems. Ramulator 2.0 abstracts and models key components in a DRAM-based memory system and their interactions… ▽ More

    Submitted 28 November, 2023; v1 submitted 21 August, 2023; originally announced August 2023.

  11. arXiv:2306.17061  [pdf, other

    cs.CR cs.AR

    RowPress: Amplifying Read Disturbance in Modern DRAM Chips

    Authors: Haocong Luo, Ataberk Olgun, A. Giray Yağlıkçı, Yahya Can Tuğrul, Steve Rhyner, Meryem Banu Cavlak, Joël Lindegger, Mohammad Sadrosadati, Onur Mutlu

    Abstract: Memory isolation is critical for system reliability, security, and safety. Unfortunately, read disturbance can break memory isolation in modern DRAM chips. For example, RowHammer is a well-studied read-disturb phenomenon where repeatedly opening and closing (i.e., hammering) a DRAM row many times causes bitflips in physically nearby rows. This paper experimentally demonstrates and analyzes anoth… ▽ More

    Submitted 28 March, 2024; v1 submitted 29 June, 2023; originally announced June 2023.

    Comments: Extended version of the paper "RowPress: Amplifying Read Disturbance in Modern DRAM Chips" at the 50th Annual International Symposium on Computer Architecture (ISCA), 2023

  12. arXiv:2305.17918  [pdf, other

    cs.CR cs.AR

    An Experimental Analysis of RowHammer in HBM2 DRAM Chips

    Authors: Ataberk Olgun, Majd Osseiran, Abdullah Giray Ya{ğ}lık{c}ı, Yahya Can Tuğrul, Haocong Luo, Steve Rhyner, Behzad Salami, Juan Gomez Luna, Onur Mutlu

    Abstract: RowHammer (RH) is a significant and worsening security, safety, and reliability issue of modern DRAM chips that can be exploited to break memory isolation. Therefore, it is important to understand real DRAM chips' RH characteristics. Unfortunately, no prior work extensively studies the RH vulnerability of modern 3D-stacked high-bandwidth memory (HBM) chips, which are commonly used in modern GPUs.… ▽ More

    Submitted 29 May, 2023; originally announced May 2023.

    Comments: To appear at DSN Disrupt 2023

  13. arXiv:2211.10894  [pdf, other

    cs.AR cs.CR

    TuRaN: True Random Number Generation Using Supply Voltage Underscaling in SRAMs

    Authors: İsmail Emir Yüksel, Ataberk Olgun, Behzad Salami, F. Nisa Bostancı, Yahya Can Tuğrul, A. Giray Yağlıkçı, Nika Mansouri Ghiasi, Onur Mutlu, Oğuz Ergin

    Abstract: Prior works propose SRAM-based TRNGs that extract entropy from SRAM arrays. SRAM arrays are widely used in a majority of specialized or general-purpose chips that perform the computation to store data inside the chip. Thus, SRAM-based TRNGs present a low-cost alternative to dedicated hardware TRNGs. However, existing SRAM-based TRNGs suffer from 1) low TRNG throughput, 2) high energy consumption,… ▽ More

    Submitted 20 November, 2022; originally announced November 2022.

  14. arXiv:2211.05838  [pdf, other

    cs.AR cs.CR

    DRAM Bender: An Extensible and Versatile FPGA-based Infrastructure to Easily Test State-of-the-art DRAM Chips

    Authors: Ataberk Olgun, Hasan Hassan, A. Giray Yağlıkçı, Yahya Can Tuğrul, Lois Orosa, Haocong Luo, Minesh Patel, Oğuz Ergin, Onur Mutlu

    Abstract: To understand and improve DRAM performance, reliability, security and energy efficiency, prior works study characteristics of commodity DRAM chips. Unfortunately, state-of-the-art open source infrastructures capable of conducting such studies are obsolete, poorly supported, or difficult to use, or their inflexibility limit the types of studies they can conduct. We propose DRAM Bender, a new FPGA… ▽ More

    Submitted 12 September, 2023; v1 submitted 10 November, 2022; originally announced November 2022.

    Comments: Extended version of paper that is to appear in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD)

  15. arXiv:2207.13795  [pdf, other

    cs.AR

    Sectored DRAM: A Practical Energy-Efficient and High-Performance Fine-Grained DRAM Architecture

    Authors: Ataberk Olgun, F. Nisa Bostanci, Geraldo F. Oliveira, Yahya Can Tugrul, Rahul Bera, A. Giray Yaglikci, Hasan Hassan, Oguz Ergin, Onur Mutlu

    Abstract: We propose Sectored DRAM, a new, low-overhead DRAM substrate that reduces wasted energy by enabling fine-grained DRAM data transfers and DRAM row activation. Sectored DRAM leverages two key ideas to enable fine-grained data transfers and row activation at low chip area cost. First, a cache block transfer between main memory and the memory controller happens in a fixed number of clock cycles where… ▽ More

    Submitted 9 June, 2024; v1 submitted 27 July, 2022; originally announced July 2022.

    Comments: Extended version of paper that is to appear in ACM Transactions on Architecture and Code Optimization (ACM TACO)

  16. arXiv:2110.10603  [pdf, other

    cs.CR cs.AR

    Uncovering In-DRAM RowHammer Protection Mechanisms: A New Methodology, Custom RowHammer Patterns, and Implications

    Authors: Hasan Hassan, Yahya Can Tugrul, Jeremie S. Kim, Victor van der Veen, Kaveh Razavi, Onur Mutlu

    Abstract: The RowHammer vulnerability in DRAM is a critical threat to system security. To protect against RowHammer, vendors commit to security-through-obscurity: modern DRAM chips rely on undocumented, proprietary, on-die mitigations, commonly known as Target Row Refresh (TRR). At a high level, TRR detects and refreshes potential RowHammer-victim rows, but its exact implementations are not openly disclosed… ▽ More

    Submitted 22 October, 2022; v1 submitted 20 October, 2021; originally announced October 2021.

    Comments: This work is to appear at the 54th IEEE/ACM International Symposium on Microarchitecture (MICRO 2021)