Skip to main content

Showing 1–9 of 9 results for author: Thakkar, I G

.
  1. arXiv:2306.07241  [pdf, other

    cs.ET physics.app-ph physics.optics

    An Analysis of Various Design Pathways Towards Multi-Terabit Photonic On-Interposer Interconnects

    Authors: Venkata Sai Praneeth Karempudi, Janibul Bashir, Ishan G Thakkar

    Abstract: In the wake of dwindling Moore's Law, to address the rapidly increasing complexity and cost of fabricating large-scale, monolithic systems-on-chip (SoCs), the industry has adopted dis-aggregation as a solution, wherein a large monolithic SoC is partitioned into multiple smaller chiplets that are then assembled into a large system-in-package (SiP) using advanced packaging substrates such as silicon… ▽ More

    Submitted 12 June, 2023; originally announced June 2023.

    Comments: Under review (ACM JETC)

  2. arXiv:2306.07238  [pdf, other

    physics.optics cs.ET physics.app-ph

    A Silicon Nitride Microring Modulator for High-Performance Photonic Integrated Circuits

    Authors: Venkata Sai Praneeth Karempudi, Ishan G Thakkar, Jeffrey Todd Hastings

    Abstract: The use of the Silicon-on-Insulator (SOI) platform has been prominent for realizing CMOS-compatible, high-performance photonic integrated circuits (PICs). But in recent years, the silicon-nitride-on-silicon-dioxide (SiN-on-SiO$_2$) platform has garnered increasing interest as an alternative, because of its several beneficial properties over the SOI platform, such as low optical losses, high thermo… ▽ More

    Submitted 12 June, 2023; originally announced June 2023.

    Comments: arXiv admin note: substantial text overlap with arXiv:2212.06326

  3. arXiv:2212.06326  [pdf, other

    physics.optics physics.app-ph

    A Silicon Nitride Microring Based High-Speed, Tuning-Efficient, Electro-Refractive Modulator

    Authors: Venkata Sai Praneeth Karempudi, Ishan G Thakkar, Jeffrey Todd Hastings

    Abstract: The use of the Silicon-on-Insulator (SOI) platform has been prominent for realizing CMOS-compatible, high-performance photonic integrated circuits (PICs). But in recent years, the silicon-nitride-on-silicon-dioxide (SiN-on-SiO$_2$) platform has garnered increasing interest as an alternative to the SOI platform for realizing high-performance PICs. This is because of its several beneficial propertie… ▽ More

    Submitted 12 December, 2022; originally announced December 2022.

  4. arXiv:2207.05278  [pdf, other

    cs.AR cs.AI cs.CV cs.LG

    Photonic Reconfigurable Accelerators for Efficient Inference of CNNs with Mixed-Sized Tensors

    Authors: Sairam Sri Vatsavai, Ishan G Thakkar

    Abstract: Photonic Microring Resonator (MRR) based hardware accelerators have been shown to provide disruptive speedup and energy-efficiency improvements for processing deep Convolutional Neural Networks (CNNs). However, previous MRR-based CNN accelerators fail to provide efficient adaptability for CNNs with mixed-sized tensors. One example of such CNNs is depthwise separable CNNs. Performing inferences of… ▽ More

    Submitted 11 July, 2022; originally announced July 2022.

    Comments: Paper accepted at CASES (ESWEEK) 2022

  5. arXiv:2110.06105  [pdf

    cs.ET

    Photonic Networks-on-Chip Employing Multilevel Signaling: A Cross-Layer Comparative Study

    Authors: Venkata Sai Praneeth Karempudi, Febin Sunny, Ishan G Thakkar, Sai Vineel Reddy Chittamuru, Mahdi Nikdast, Sudeep Pasricha

    Abstract: Photonic network-on-chip (PNoC) architectures employ photonic links with dense wavelength-division multiplexing (DWDM) to enable high throughput on-chip transfers. Unfortunately, increasing the DWDM degree (i.e., using a larger number of wavelengths) to achieve higher aggregated datarate in photonic links, and hence higher throughput in PNoCs, requires sophisticated and costly laser sources along… ▽ More

    Submitted 12 October, 2021; originally announced October 2021.

    Comments: Submitted and Accepted to publish in ACM Journal on Emerging Technologies in Computing Systems

  6. arXiv:2105.12781  [pdf

    cs.AR cs.AI cs.LG cs.NE

    ATRIA: A Bit-Parallel Stochastic Arithmetic Based Accelerator for In-DRAM CNN Processing

    Authors: Supreeth Mysore Shivanandamurthy, Ishan. G. Thakkar, Sayed Ahmad Salehi

    Abstract: With the rapidly growing use of Convolutional Neural Networks (CNNs) in real-world applications related to machine learning and Artificial Intelligence (AI), several hardware accelerator designs for CNN inference and training have been proposed recently. In this paper, we present ATRIA, a novel bit-pArallel sTochastic aRithmetic based In-DRAM Accelerator for energy-efficient and high-speed inferen… ▽ More

    Submitted 26 May, 2021; originally announced May 2021.

    Comments: Preprint accepted in ISVLSI 2021

  7. arXiv:2103.03953  [pdf

    cs.AR cs.AI cs.LG

    ODIN: A Bit-Parallel Stochastic Arithmetic Based Accelerator for In-Situ Neural Network Processing in Phase Change RAM

    Authors: Supreeth Mysore Shivanandamurthy, Ishan. G. Thakkar, Sayed Ahmad Salehi

    Abstract: Due to the very rapidly growing use of Artificial Neural Networks (ANNs) in real-world applications related to machine learning and Artificial Intelligence (AI), several hardware accelerator de-signs for ANNs have been proposed recently. In this paper, we present a novel processing-in-memory (PIM) engine called ODIN that employs hybrid binary-stochastic bit-parallel arithmetic in-side phase change… ▽ More

    Submitted 5 March, 2021; originally announced March 2021.

    Comments: 6 pages, 6 Figures, 4 Tables

  8. arXiv:2008.11367  [pdf

    cs.AR

    Mitigating the Latency-Area Tradeoffs for DRAM Design with Coarse-Grained Monolithic 3D (M3D) Integration

    Authors: Chao-Hsuan Huang, Ishan G Thakkar

    Abstract: Over the years, the DRAM latency has not scaled proportionally with its density due to the cost-centric mindset of the DRAM industry. Prior work has shown that this shortcoming can be overcome by reducing the critical length of DRAM access path. However, doing so decreases DRAM area-efficiency, exacerbating the latency-area tradeoffs for DRAM design. In this paper, we show that reorganizing DRAM c… ▽ More

    Submitted 25 August, 2020; originally announced August 2020.

    Comments: Accepted in ICCD 2020

  9. arXiv:2007.10454  [pdf

    cs.AR cs.ET

    Exploiting Process Variations to Secure Photonic NoC Architectures from Snoo** Attacks

    Authors: Sai Vineel Reddy Chittamuru, Ishan G Thakkar, Sudeep Pasricha, Sairam Sri Vatsavai, Varun Bhat

    Abstract: The compact size and high wavelength-selectivity of microring resonators (MRs) enable photonic networks-on-chip (PNoCs) to utilize dense-wavelength-division-multiplexing (DWDM) in their photonic waveguides, and as a result, attain high bandwidth on-chip data transfers. Unfortunately, a Hardware Trojan in a PNoC can manipulate the electrical driving circuit of its MRs to cause the MRs to snoop data… ▽ More

    Submitted 20 July, 2020; originally announced July 2020.

    Comments: Pre-Print: Accepted in IEEE TCAD Journal on July 16, 2020