Skip to main content

Showing 1–25 of 25 results for author: Thakkar, I

.
  1. Scaling Analog Photonic Accelerators for Byte-Size, Integer General Matrix Multiply (GEMM) Kernels

    Authors: Oluwaseun Adewunmi Alo, Sairam Sri Vatsavai, Ishan Thakkar

    Abstract: Deep Neural Networks (DNNs) predominantly rely on General Matrix Multiply (GEMM) kernels, which are often accelerated using specialized hardware architectures. Recently, analog photonic GEMM accelerators have emerged as a promising alternative, offering vastly superior speed and energy efficiency compared to traditional electronic accelerators. However, these photonic cannot support wider than 4-b… ▽ More

    Submitted 8 July, 2024; originally announced July 2024.

    Comments: Presented at the IEEE ISVLSI 2024

  2. arXiv:2402.11047  [pdf, other

    cs.AR cs.ET cs.PF physics.optics

    A Low-Dissipation and Scalable GEMM Accelerator with Silicon Nitride Photonics

    Authors: Venkata Sai Praneeth Karempudi, Sairam Sri Vatsavai, Ishan Thakkar, Oluwaseun Adewunmi Alo, Jeffrey Todd Hastings, Justin Scott Woods

    Abstract: Over the past few years, several microring resonator (MRR)-based analog photonic architectures have been proposed to accelerate general matrix-matrix multiplications (GEMMs), which are found in abundance in deep learning workloads.These architectures have dramatically grown in popularity because they offer exceptional throughput and energy efficiency compared to their electronic counterparts. Howe… ▽ More

    Submitted 16 February, 2024; originally announced February 2024.

    Comments: To Appear at ISQED 2024

  3. arXiv:2402.03247  [pdf, other

    cs.AR cs.AI cs.ET

    HEANA: A Hybrid Time-Amplitude Analog Optical Accelerator with Flexible Dataflows for Energy-Efficient CNN Inference

    Authors: Sairam Sri Vatsavai, Venkata Sai Praneeth Karempudi, Ishan Thakkar

    Abstract: Several photonic microring resonators (MRRs) based analog accelerators have been proposed to accelerate the inference of integer-quantized CNNs with remarkably higher throughput and energy efficiency compared to their electronic counterparts. However, the existing analog photonic accelerators suffer from three shortcomings: (i) severe hampering of wavelength parallelism due to various crosstalk ef… ▽ More

    Submitted 9 February, 2024; v1 submitted 5 February, 2024; originally announced February 2024.

    Comments: The paper is under review at ACM TODAES

  4. arXiv:2402.03149  [pdf, other

    cs.AR cs.ET cs.LG cs.NE

    A Comparative Analysis of Microrings Based Incoherent Photonic GEMM Accelerators

    Authors: Sairam Sri Vatsavai, Venkata Sai Praneeth Karempudi, Oluwaseun Adewunmi Alo, Ishan Thakkar

    Abstract: Several microring resonator (MRR) based analog photonic architectures have been proposed to accelerate general matrix-matrix multiplications (GEMMs) in deep neural networks with exceptional throughput and energy efficiency. To implement GEMM functions, these MRR-based architectures, in general, manipulate optical signals in five different ways: (i) Splitting (copying) of multiple optical signals t… ▽ More

    Submitted 16 February, 2024; v1 submitted 5 February, 2024; originally announced February 2024.

    Comments: To Appear at ISQED 2024

  5. arXiv:2306.07241  [pdf, other

    cs.ET physics.app-ph physics.optics

    An Analysis of Various Design Pathways Towards Multi-Terabit Photonic On-Interposer Interconnects

    Authors: Venkata Sai Praneeth Karempudi, Janibul Bashir, Ishan G Thakkar

    Abstract: In the wake of dwindling Moore's Law, to address the rapidly increasing complexity and cost of fabricating large-scale, monolithic systems-on-chip (SoCs), the industry has adopted dis-aggregation as a solution, wherein a large monolithic SoC is partitioned into multiple smaller chiplets that are then assembled into a large system-in-package (SiP) using advanced packaging substrates such as silicon… ▽ More

    Submitted 12 June, 2023; originally announced June 2023.

    Comments: Under review (ACM JETC)

  6. arXiv:2306.07238  [pdf, other

    physics.optics cs.ET physics.app-ph

    A Silicon Nitride Microring Modulator for High-Performance Photonic Integrated Circuits

    Authors: Venkata Sai Praneeth Karempudi, Ishan G Thakkar, Jeffrey Todd Hastings

    Abstract: The use of the Silicon-on-Insulator (SOI) platform has been prominent for realizing CMOS-compatible, high-performance photonic integrated circuits (PICs). But in recent years, the silicon-nitride-on-silicon-dioxide (SiN-on-SiO$_2$) platform has garnered increasing interest as an alternative, because of its several beneficial properties over the SOI platform, such as low optical losses, high thermo… ▽ More

    Submitted 12 June, 2023; originally announced June 2023.

    Comments: arXiv admin note: substantial text overlap with arXiv:2212.06326

  7. arXiv:2304.07608  [pdf, other

    cs.AR cs.AI cs.LG

    High-Speed and Energy-Efficient Non-Binary Computing with Polymorphic Electro-Optic Circuits and Architectures

    Authors: Ishan Thakkar, Sairam Sri Vatsavai, Venkata Sai Praneeth Karempudi

    Abstract: In this paper, we present microring resonator (MRR) based polymorphic E-O circuits and architectures that can be employed for high-speed and energy-efficient non-binary reconfigurable computing. Our polymorphic E-O circuits can be dynamically programmed to implement different logic and arithmetic functions at different times. They can provide compactness and polymorphism to consequently improve op… ▽ More

    Submitted 15 April, 2023; originally announced April 2023.

  8. arXiv:2302.08324  [pdf, other

    cs.AR cs.ET cs.LG

    A Bit-Parallel Deterministic Stochastic Multiplier

    Authors: Sairam Sri Vatsavai, Ishan Thakkar

    Abstract: This paper presents a novel bit-parallel deterministic stochastic multiplier, which improves the area-energy-latency product by up to 10.6$\times$10$^4$, while improving the computational error by 32.2\%, compared to three prior stochastic multipliers.

    Submitted 14 February, 2023; originally announced February 2023.

    Comments: To Appear at IEEE ISQED 2023

  9. arXiv:2302.07746  [pdf, other

    cs.AR

    AGNI: In-Situ, Iso-Latency Stochastic-to-Binary Number Conversion for In-DRAM Deep Learning

    Authors: Supreeth Mysore Shivanandamurthy, Sairam Sri Vatsavai, Ishan Thakkar, Sayed Ahmad Salehi

    Abstract: Recent years have seen a rapid increase in research activity in the field of DRAM-based Processing-In-Memory (PIM) accelerators, where the analog computing capability of DRAM is employed by minimally changing the inherent structure of DRAM peripherals to accelerate various data-centric applications. Several DRAM-based PIM accelerators for Convolutional Neural Networks (CNNs) have also been reporte… ▽ More

    Submitted 11 February, 2023; originally announced February 2023.

    Comments: (Preprint) To Appear at ISQED 2023

  10. arXiv:2302.07036  [pdf, other

    cs.AR cs.AI cs.ET cs.LG

    SCONNA: A Stochastic Computing Based Optical Accelerator for Ultra-Fast, Energy-Efficient Inference of Integer-Quantized CNNs

    Authors: Sairam Sri Vatsavai, Venkata Sai Praneeth Karempudi, Ishan Thakkar, Ahmad Salehi, Todd Hastings

    Abstract: The acceleration of a CNN inference task uses convolution operations that are typically transformed into vector-dot-product (VDP) operations. Several photonic microring resonators (MRRs) based hardware architectures have been proposed to accelerate integer-quantized CNNs with remarkably higher throughput and energy efficiency compared to their electronic counterparts. However, the existing photoni… ▽ More

    Submitted 14 February, 2023; originally announced February 2023.

    Comments: To Appear at IPDPS 2023

  11. arXiv:2302.06405  [pdf, other

    cs.AR cs.CV cs.LG

    An Optical XNOR-Bitcount Based Accelerator for Efficient Inference of Binary Neural Networks

    Authors: Sairam Sri Vatsavai, Venkata Sai Praneeth Karempudi, Ishan Thakkar

    Abstract: Binary Neural Networks (BNNs) are increasingly preferred over full-precision Convolutional Neural Networks(CNNs) to reduce the memory and computational requirements of inference processing with minimal accuracy drop. BNNs convert CNN model parameters to 1-bit precision, allowing inference of BNNs to be processed with simple XNOR and bitcount operations. This makes BNNs amenable to hardware acceler… ▽ More

    Submitted 19 March, 2023; v1 submitted 3 February, 2023; originally announced February 2023.

    Comments: To Appear at IEEE ISQED 2023

  12. arXiv:2301.13626  [pdf, other

    cs.ET physics.optics

    A Polymorphic Electro-Optic Logic Gate for High-Speed Reconfigurable Computing Circuits

    Authors: Venkata Sai Praneeth Karempudi, Sairam Sri Vatsavai, Ishan Thakkar, Jeffrey Todd Hastings

    Abstract: In the wake of dwindling Moore's law, integrated electro-optic (E-O) computing circuits have shown revolutionary potential to provide progressively faster and more efficient hardware for computing. The E-O circuits for computing from the literature can operate with minimal latency at high bit-rates. However, they face shortcomings due to their operand handling complexity, non-amortizable high area… ▽ More

    Submitted 30 January, 2023; originally announced January 2023.

  13. arXiv:2212.06326  [pdf, other

    physics.optics physics.app-ph

    A Silicon Nitride Microring Based High-Speed, Tuning-Efficient, Electro-Refractive Modulator

    Authors: Venkata Sai Praneeth Karempudi, Ishan G Thakkar, Jeffrey Todd Hastings

    Abstract: The use of the Silicon-on-Insulator (SOI) platform has been prominent for realizing CMOS-compatible, high-performance photonic integrated circuits (PICs). But in recent years, the silicon-nitride-on-silicon-dioxide (SiN-on-SiO$_2$) platform has garnered increasing interest as an alternative to the SOI platform for realizing high-performance PICs. This is because of its several beneficial propertie… ▽ More

    Submitted 12 December, 2022; originally announced December 2022.

  14. arXiv:2207.05278  [pdf, other

    cs.AR cs.AI cs.CV cs.LG

    Photonic Reconfigurable Accelerators for Efficient Inference of CNNs with Mixed-Sized Tensors

    Authors: Sairam Sri Vatsavai, Ishan G Thakkar

    Abstract: Photonic Microring Resonator (MRR) based hardware accelerators have been shown to provide disruptive speedup and energy-efficiency improvements for processing deep Convolutional Neural Networks (CNNs). However, previous MRR-based CNN accelerators fail to provide efficient adaptability for CNNs with mixed-sized tensors. One example of such CNNs is depthwise separable CNNs. Performing inferences of… ▽ More

    Submitted 11 July, 2022; originally announced July 2022.

    Comments: Paper accepted at CASES (ESWEEK) 2022

  15. arXiv:2110.06105  [pdf

    cs.ET

    Photonic Networks-on-Chip Employing Multilevel Signaling: A Cross-Layer Comparative Study

    Authors: Venkata Sai Praneeth Karempudi, Febin Sunny, Ishan G Thakkar, Sai Vineel Reddy Chittamuru, Mahdi Nikdast, Sudeep Pasricha

    Abstract: Photonic network-on-chip (PNoC) architectures employ photonic links with dense wavelength-division multiplexing (DWDM) to enable high throughput on-chip transfers. Unfortunately, increasing the DWDM degree (i.e., using a larger number of wavelengths) to achieve higher aggregated datarate in photonic links, and hence higher throughput in PNoCs, requires sophisticated and costly laser sources along… ▽ More

    Submitted 12 October, 2021; originally announced October 2021.

    Comments: Submitted and Accepted to publish in ACM Journal on Emerging Technologies in Computing Systems

  16. arXiv:2106.09308  [pdf

    cs.AR

    Characterization and Mitigation of Electromigration Effects in TSV-Based Power Delivery Network Enabled 3D-Stacked DRAMs

    Authors: Bobby Bose, Ishan Thakkar

    Abstract: With 3D-stacked DRAM architectures becoming more prevalent, it has become important to find ways to characterize and mitigate the adverse effects that can hinder their inherent access parallelism and throughput. One example of such adversities is the electromigration (EM) effects in the through-silicon vias (TSVs) of the power delivery network (PDN) of 3D-stacked DRAM architectures. Several prior… ▽ More

    Submitted 17 June, 2021; originally announced June 2021.

    Comments: To appear at IEEE/ACM GLSVLSI 2021

  17. arXiv:2105.12781  [pdf

    cs.AR cs.AI cs.LG cs.NE

    ATRIA: A Bit-Parallel Stochastic Arithmetic Based Accelerator for In-DRAM CNN Processing

    Authors: Supreeth Mysore Shivanandamurthy, Ishan. G. Thakkar, Sayed Ahmad Salehi

    Abstract: With the rapidly growing use of Convolutional Neural Networks (CNNs) in real-world applications related to machine learning and Artificial Intelligence (AI), several hardware accelerator designs for CNN inference and training have been proposed recently. In this paper, we present ATRIA, a novel bit-pArallel sTochastic aRithmetic based In-DRAM Accelerator for energy-efficient and high-speed inferen… ▽ More

    Submitted 26 May, 2021; originally announced May 2021.

    Comments: Preprint accepted in ISVLSI 2021

  18. arXiv:2103.08828  [pdf

    cs.ET cs.AR cs.DC

    ARXON: A Framework for Approximate Communication over Photonic Networks-on-Chip

    Authors: Febin Sunny, Asif Mirza, Ishan Thakkar, Mahdi Nikdast, Sudeep Pasricha

    Abstract: The approximate computing paradigm advocates for relaxing accuracy goals in applications to improve energy-efficiency and performance. Recently, this paradigm has been explored to improve the energy-efficiency of silicon photonic networks-on-chip (PNoCs). Silicon photonic interconnects suffer from high power dissipation because of laser sources, which generate carrier wavelengths, and tuning power… ▽ More

    Submitted 15 March, 2021; originally announced March 2021.

    Comments: arXiv admin note: text overlap with arXiv:2002.11289

  19. arXiv:2103.03953  [pdf

    cs.AR cs.AI cs.LG

    ODIN: A Bit-Parallel Stochastic Arithmetic Based Accelerator for In-Situ Neural Network Processing in Phase Change RAM

    Authors: Supreeth Mysore Shivanandamurthy, Ishan. G. Thakkar, Sayed Ahmad Salehi

    Abstract: Due to the very rapidly growing use of Artificial Neural Networks (ANNs) in real-world applications related to machine learning and Artificial Intelligence (AI), several hardware accelerator de-signs for ANNs have been proposed recently. In this paper, we present a novel processing-in-memory (PIM) engine called ODIN that employs hybrid binary-stochastic bit-parallel arithmetic in-side phase change… ▽ More

    Submitted 5 March, 2021; originally announced March 2021.

    Comments: 6 pages, 6 Figures, 4 Tables

  20. arXiv:2101.00557  [pdf

    cs.AR cs.ET cs.LG

    Silicon Photonic Microring Based Chip-Scale Accelerator for Delayed Feedback Reservoir Computing

    Authors: Sairam Sri Vatsavai, Ishan Thakkar

    Abstract: To perform temporal and sequential machine learning tasks, the use of conventional Recurrent Neural Networks (RNNs) has been dwindling due to the training complexities of RNNs. To this end, accelerators for delayed feedback reservoir computing (DFRC) have attracted attention in lieu of RNNs, due to their simple hardware implementations. A typical implementation of a DFRC accelerator consists of a… ▽ More

    Submitted 2 January, 2021; originally announced January 2021.

    Comments: Paper accepted at VLSID 2021

  21. arXiv:2008.11367  [pdf

    cs.AR

    Mitigating the Latency-Area Tradeoffs for DRAM Design with Coarse-Grained Monolithic 3D (M3D) Integration

    Authors: Chao-Hsuan Huang, Ishan G Thakkar

    Abstract: Over the years, the DRAM latency has not scaled proportionally with its density due to the cost-centric mindset of the DRAM industry. Prior work has shown that this shortcoming can be overcome by reducing the critical length of DRAM access path. However, doing so decreases DRAM area-efficiency, exacerbating the latency-area tradeoffs for DRAM design. In this paper, we show that reorganizing DRAM c… ▽ More

    Submitted 25 August, 2020; originally announced August 2020.

    Comments: Accepted in ICCD 2020

  22. arXiv:2008.07566  [pdf

    cs.ET eess.SP

    PROTEUS: Rule-Based Self-Adaptation in Photonic NoCs for Loss-Aware Co-Management of Laser Power and Performance

    Authors: Sairam Sri Vatsavai, Venkata Sai Praneeth Karempudi, Ishan Thakkar

    Abstract: The performance of on-chip communication in the state-of-the-art multi-core processors that use the traditional electron-ic NoCs has already become severely energy-constrained. To that end, emerging photonic NoCs (PNoC) are seen as a po-tential solution to improve the energy-efficiency (performance per watt) of on-chip communication. However, existing PNoC designs cannot realize their full potenti… ▽ More

    Submitted 19 August, 2020; v1 submitted 17 August, 2020; originally announced August 2020.

    Comments: Submitted and Accepted at NOCs 2020

  23. arXiv:2007.10454  [pdf

    cs.AR cs.ET

    Exploiting Process Variations to Secure Photonic NoC Architectures from Snoo** Attacks

    Authors: Sai Vineel Reddy Chittamuru, Ishan G Thakkar, Sudeep Pasricha, Sairam Sri Vatsavai, Varun Bhat

    Abstract: The compact size and high wavelength-selectivity of microring resonators (MRs) enable photonic networks-on-chip (PNoCs) to utilize dense-wavelength-division-multiplexing (DWDM) in their photonic waveguides, and as a result, attain high bandwidth on-chip data transfers. Unfortunately, a Hardware Trojan in a PNoC can manipulate the electrical driving circuit of its MRs to cause the MRs to snoop data… ▽ More

    Submitted 20 July, 2020; originally announced July 2020.

    Comments: Pre-Print: Accepted in IEEE TCAD Journal on July 16, 2020

  24. arXiv:2003.11895  [pdf

    physics.app-ph cs.ET

    Redesigning Photonic Interconnects with Silicon-on-Sapphire Device Platform for Ultra-Low-Energy On-Chip Communication

    Authors: Venkata Sai Praneeth Karempudi, Sairam Sri Vatsavai, Ishan Thakkar

    Abstract: Traditional silicon-on-insulator (SOI) platform based on-chip photonic interconnects have limited energy-bandwidth scalability due to the optical non-linearity induced power constraints of the constituent photonic devices. In this paper, we propose to break this scalability barrier using a new silicon-on-sapphire (SOS) based photonic device platform. Our physical-layer characterization results sho… ▽ More

    Submitted 28 February, 2020; originally announced March 2020.

    Comments: Submitted and Accepted at GLSVLSI 2020

  25. arXiv:2002.11289  [pdf

    cs.AR

    LORAX: Loss-Aware Approximations for Energy-Efficient Silicon Photonic Networks-on-Chip

    Authors: Febin Sunny, Asif Mirza, Ishan Thakkar, Sudeep Pasricha, Nikdast Mahdi

    Abstract: The approximate computing paradigm advocates for relaxing accuracy goals in applications to improve energy-efficiency and performance. Recently, this paradigm has been explored to improve the energy efficiency of silicon photonic networks-on-chip (PNoCs). In this paper, we propose a novel framework (LORAX) to enable more aggressive approximation during communication over silicon photonic links in… ▽ More

    Submitted 25 February, 2020; originally announced February 2020.

    Comments: Submitted and accepted at GLSVLSI 2020