Skip to main content

Showing 1–8 of 8 results for author: Rogenmoser, M

.
  1. arXiv:2407.05938  [pdf, other

    physics.ins-det cs.AR hep-ex

    Design and Experimental Investigation of Trikarenos: A Fault-Tolerant 28nm RISC-V-based SoC

    Authors: Michael Rogenmoser, Philip Wiese, Bruno Endres Forlin, Frank K. Gürkaynak, Paolo Rech, Alessandra Menicucci, Marco Ottavi, Luca Benini

    Abstract: We present a fault-tolerant by-design RISC-V SoC and experimentally assess it under atmospheric neutrons and 200 MeV protons. The dedicated ECC and Triple-Core Lockstep countermeasures correct most errors, guaranteeing a device cross-section lower than $5.36 \times 10^{-12}$ cm$^2$.

    Submitted 8 July, 2024; originally announced July 2024.

    Comments: 4 pages (excluding title page), accepted at RADECS 2024

  2. arXiv:2406.06546  [pdf, other

    cs.AR

    SentryCore: A RISC-V Co-Processor System for Safe, Real-Time Control Applications

    Authors: Michael Rogenmoser, Alessandro Ottaviano, Thomas Benz, Robert Balas, Matteo Perotti, Angelo Garofalo, Luca Benini

    Abstract: In the last decade, we have witnessed exponential growth in the complexity of control systems for safety-critical applications (automotive, robots, industrial automation) and their transition to heterogeneous mixed-criticality systems (MCSs). The growth of the RISC-V ecosystem is creating a major opportunity to develop open-source, vendor-neutral reference platforms for safety-critical computing.… ▽ More

    Submitted 16 May, 2024; originally announced June 2024.

    Comments: 2 pages, accepted at the RISC-V Summit Europe 2024

  3. Trikarenos: A Fault-Tolerant RISC-V-based Microcontroller for CubeSats in 28nm

    Authors: Michael Rogenmoser, Luca Benini

    Abstract: One of the key challenges when operating microcontrollers in harsh environments such as space is radiation-induced Single Event Upsets (SEUs), which can lead to errors in computation. Common countermeasures rely on proprietary radiation-hardened technologies, low density technologies, or extensive replication, leading to high costs and low performance and efficiency. To combat this, we present Tri… ▽ More

    Submitted 3 October, 2023; originally announced October 2023.

    Comments: 4 pages, 4 figures, accepted by IEEE International Conference on Electronics Circuits and Systems (ICECS) 2023

  4. arXiv:2308.00154  [pdf, other

    cs.AR

    PATRONoC: Parallel AXI Transport Reducing Overhead for Networks-on-Chip targeting Multi-Accelerator DNN Platforms at the Edge

    Authors: Vikram Jain, Matheus Cavalcante, Nazareno Bruschi, Michael Rogenmoser, Thomas Benz, Andreas Kurth, Davide Rossi, Luca Benini, Marian Verhelst

    Abstract: Emerging deep neural network (DNN) applications require high-performance multi-core hardware acceleration with large data bursts. Classical network-on-chips (NoCs) use serial packet-based protocols suffering from significant protocol translation overheads towards the endpoints. This paper proposes PATRONoC, an open-source fully AXI-compliant NoC fabric to better address the specific needs of multi… ▽ More

    Submitted 31 July, 2023; originally announced August 2023.

    Comments: Accepted and presented at 60th DAC

  5. FlooNoC: A Multi-Tbps Wide NoC for Heterogeneous AXI4 Traffic

    Authors: Tim Fischer, Michael Rogenmoser, Matheus Cavalcante, Frank K. Gürkaynak, Luca Benini

    Abstract: Meeting the staggering bandwidth requirements of today's applications challenges the traditional narrow and serialized NoCs, which hit hard bounds on the maximum operating frequency. This paper proposes FlooNoC, an open-source, low-latency, fully AXI4-compatible NoC with wide physical channels for latency-tolerant high-bandwidth non-blocking transactions and decoupled latency-critical short messag… ▽ More

    Submitted 6 August, 2023; v1 submitted 15 May, 2023; originally announced May 2023.

  6. arXiv:2305.05240  [pdf, other

    cs.AR

    A High-performance, Energy-efficient Modular DMA Engine Architecture

    Authors: Thomas Benz, Michael Rogenmoser, Paul Scheffler, Samuel Riedel, Alessandro Ottaviano, Andreas Kurth, Torsten Hoefler, Luca Benini

    Abstract: Data transfers are essential in today's computing systems as latency and complex memory access patterns are increasingly challenging to manage. Direct memory access engines (DMAEs) are critically needed to transfer data independently of the processing elements, hiding latency and achieving high throughput even for complex access patterns to high-latency memory. With the prevalence of heterogeneous… ▽ More

    Submitted 14 November, 2023; v1 submitted 9 May, 2023; originally announced May 2023.

    Comments: 14 pages, 14 figures, accepted by an IEEE journal for publication

  7. arXiv:2303.08706  [pdf, other

    eess.SY cs.AR

    Hybrid Modular Redundancy: Exploring Modular Redundancy Approaches in RISC-V Multi-Core Computing Clusters for Reliable Processing in Space

    Authors: Michael Rogenmoser, Yvan Tortorella, Davide Rossi, Francesco Conti, Luca Benini

    Abstract: Space Cyber-Physical Systems (S-CPS) such as spacecraft and satellites strongly rely on the reliability of onboard computers to guarantee the success of their missions. Relying solely on radiation-hardened technologies is extremely expensive, and develo** inflexible architectural and microarchitectural modifications to introduce modular redundancy within a system leads to significant area increa… ▽ More

    Submitted 14 November, 2023; v1 submitted 15 March, 2023; originally announced March 2023.

  8. On-Demand Redundancy Grou**: Selectable Soft-Error Tolerance for a Multicore Cluster

    Authors: Michael Rogenmoser, Nils Wistoff, Pirmin Vogel, Frank Gürkaynak, Luca Benini

    Abstract: With the shrinking of technology nodes and the use of parallel processor clusters in hostile and critical environments, such as space, run-time faults caused by radiation are a serious cross-cutting concern, also impacting architectural design. This paper introduces an architectural approach to run-time configurable soft-error tolerance at the core level, augmenting a six-core open-source RISC-V c… ▽ More

    Submitted 3 October, 2023; v1 submitted 25 May, 2022; originally announced May 2022.

    Journal ref: ISVLSI (2022) 398-401