Skip to main content

Showing 1–43 of 43 results for author: Raychowdhury, A

.
  1. arXiv:2404.04173  [pdf, other

    cs.AR cs.LG

    H3DFact: Heterogeneous 3D Integrated CIM for Factorization with Holographic Perceptual Representations

    Authors: Zishen Wan, Che-Kai Liu, Mohamed Ibrahim, Hanchen Yang, Samuel Spetalnick, Tushar Krishna, Arijit Raychowdhury

    Abstract: Disentangling attributes of various sensory signals is central to human-like perception and reasoning and a critical task for higher-order cognitive and neuro-symbolic AI systems. An elegant approach to represent this intricate factorization is via high-dimensional holographic vectors drawing on brain-inspired vector symbolic architectures. However, holographic factorization involves iterative com… ▽ More

    Submitted 5 April, 2024; originally announced April 2024.

    Comments: 2024 Design Automation and Test in Europe (DATE); The first two authors have equal contributions

  2. arXiv:2404.03216  [pdf, other

    cs.CR

    Accurate Low-Degree Polynomial Approximation of Non-polynomial Operators for Fast Private Inference in Homomorphic Encryption

    Authors: Jianming Tong, **gtian Dang, Anupam Golder, Callie Hao, Arijit Raychowdhury, Tushar Krishna

    Abstract: As machine learning (ML) permeates fields like healthcare, facial recognition, and blockchain, the need to protect sensitive data intensifies. Fully Homomorphic Encryption (FHE) allows inference on encrypted data, preserving the privacy of both data and the ML model. However, it slows down non-secure inference by up to five magnitudes, with a root cause of replacing non-polynomial operators (ReLU… ▽ More

    Submitted 7 May, 2024; v1 submitted 4 April, 2024; originally announced April 2024.

    Comments: Proceedings of the 5th MLSys Conference, Santa Clara, CA, USA, 2024. Copyright 2024 by the author(s)

  3. arXiv:2401.01040  [pdf, other

    cs.AI cs.AR

    Towards Cognitive AI Systems: a Survey and Prospective on Neuro-Symbolic AI

    Authors: Zishen Wan, Che-Kai Liu, Hanchen Yang, Chaojian Li, Haoran You, Yonggan Fu, Cheng Wan, Tushar Krishna, Yingyan Lin, Arijit Raychowdhury

    Abstract: The remarkable advancements in artificial intelligence (AI), primarily driven by deep neural networks, have significantly impacted various aspects of our lives. However, the current challenges surrounding unsustainable computational trajectories, limited robustness, and a lack of explainability call for the development of next-generation AI systems. Neuro-symbolic AI (NSAI) emerges as a promising… ▽ More

    Submitted 2 January, 2024; originally announced January 2024.

    Comments: Workshop on Systems for Next-Gen AI Paradigms, 6th Conference on Machine Learning and Systems (MLSys), June 4-8, 2023, Miami, FL, USA

  4. arXiv:2307.10041  [pdf, other

    cs.RO cs.AR

    BERRY: Bit Error Robustness for Energy-Efficient Reinforcement Learning-Based Autonomous Systems

    Authors: Zishen Wan, Nandhini Chandramoorthy, Karthik Swaminathan, Pin-Yu Chen, Vijay Janapa Reddi, Arijit Raychowdhury

    Abstract: Autonomous systems, such as Unmanned Aerial Vehicles (UAVs), are expected to run complex reinforcement learning (RL) models to execute fully autonomous position-navigation-time tasks within stringent onboard weight and power constraints. We observe that reducing onboard operating voltage can benefit the energy efficiency of both the computation and flight mission, however, it can also result in on… ▽ More

    Submitted 19 July, 2023; originally announced July 2023.

    Comments: Accepted in 2023 60th IEEE/ACM Design Automation Conference (DAC)

  5. arXiv:2306.16660  [pdf, other

    cs.CV cs.RO

    Real-Time Fully Unsupervised Domain Adaptation for Lane Detection in Autonomous Driving

    Authors: Kshitij Bhardwaj, Zishen Wan, Arijit Raychowdhury, Ryan Goldhahn

    Abstract: While deep neural networks are being utilized heavily for autonomous driving, they need to be adapted to new unseen environmental conditions for which they were not trained. We focus on a safety critical application of lane detection, and propose a lightweight, fully unsupervised, real-time adaptation approach that only adapts the batch-normalization parameters of the model. We demonstrate that ou… ▽ More

    Submitted 28 June, 2023; originally announced June 2023.

    Comments: Accepted in 2023 Design, Automation & Test in Europe Conference (DATE 2023) - Late Breaking Results

  6. arXiv:2302.11107  [pdf, other

    cs.LG cs.AI cs.AR eess.IV

    Non-Uniform Interpolation in Integrated Gradients for Low-Latency Explainable-AI

    Authors: Ashwin Bhat, Arijit Raychowdhury

    Abstract: There has been a surge in Explainable-AI (XAI) methods that provide insights into the workings of Deep Neural Network (DNN) models. Integrated Gradients (IG) is a popular XAI algorithm that attributes relevance scores to input features commensurate with their contribution to the model's output. However, it requires multiple forward \& backward passes through the model. Thus, compared to a single f… ▽ More

    Submitted 21 February, 2023; originally announced February 2023.

  7. arXiv:2210.10922  [pdf, other

    cs.AR cs.AI cs.LG eess.IV

    Gradient Backpropagation based Feature Attribution to Enable Explainable-AI on the Edge

    Authors: Ashwin Bhat, Adou Sangbone Assoa, Arijit Raychowdhury

    Abstract: There has been a recent surge in the field of Explainable AI (XAI) which tackles the problem of providing insights into the behavior of black-box machine learning models. Within this field, \textit{feature attribution} encompasses methods which assign relevance scores to input features and visualize them as a heatmap. Designing flexible accelerators for multiple such algorithms is challenging sinc… ▽ More

    Submitted 19 October, 2022; originally announced October 2022.

    Comments: To appear in 30th IFIP/IEEE International Conference on Very Large Scale Integration (VLSI-SoC 2022

  8. arXiv:2207.10720  [pdf, other

    cs.CV cs.AR cs.NE eess.IV

    Fusing Frame and Event Vision for High-speed Optical Flow for Edge Application

    Authors: Ashwin Sanjay Lele, Arijit Raychowdhury

    Abstract: Optical flow computation with frame-based cameras provides high accuracy but the speed is limited either by the model size of the algorithm or by the frame rate of the camera. This makes it inadequate for high-speed applications. Event cameras provide continuous asynchronous event streams overcoming the frame-rate limitation. However, the algorithms for processing the data either borrow frame like… ▽ More

    Submitted 21 July, 2022; originally announced July 2022.

  9. arXiv:2205.07149  [pdf, other

    cs.RO

    Robotic Computing on FPGAs: Current Progress, Research Challenges, and Opportunities

    Authors: Zishen Wan, Ashwin Lele, Bo Yu, Shaoshan Liu, Yu Wang, Vijay Janapa Reddi, Cong Hao, Arijit Raychowdhury

    Abstract: Robotic computing has reached a tip** point, with a myriad of robots (e.g., drones, self-driving cars, logistic robots) being widely applied in diverse scenarios. The continuous proliferation of robotics, however, critically depends on efficient computing substrates, driven by real-time requirements, robotic size-weight-and-power constraints, cybersecurity considerations, and dynamically changin… ▽ More

    Submitted 14 May, 2022; originally announced May 2022.

    Comments: 2022 IEEE International Conference on Artificial Intelligence Circuits and Systems (AICAS), June 13-15, 2022, Incheon, Korea

  10. arXiv:2203.07276  [pdf, other

    cs.LG cs.AR

    FRL-FI: Transient Fault Analysis for Federated Reinforcement Learning-Based Navigation Systems

    Authors: Zishen Wan, Aqeel Anwar, Abdulrahman Mahmoud, Tianyu Jia, Yu-Shun Hsiao, Vijay Janapa Reddi, Arijit Raychowdhury

    Abstract: Swarm intelligence is being increasingly deployed in autonomous systems, such as drones and unmanned vehicles. Federated reinforcement learning (FRL), a key swarm intelligence paradigm where agents interact with their own environments and cooperatively learn a consensus policy while preserving privacy, has recently shown potential advantages and gained popularity. However, transient faults are inc… ▽ More

    Submitted 14 March, 2022; originally announced March 2022.

    Comments: 2022 Design Automation and Test in Europe Conference (DATE), March 14-23, 2022, Virtual

  11. arXiv:2202.11237  [pdf, other

    cs.AR cs.RO

    Circuit and System Technologies for Energy-Efficient Edge Robotics

    Authors: Zishen Wan, Ashwin Sanjay Lele, Arijit Raychowdhury

    Abstract: As we march towards the age of ubiquitous intelligence, we note that AI and intelligence are progressively moving from the cloud to the edge. The success of Edge-AI is pivoted on innovative circuits and hardware that can enable inference and limited learning in resource-constrained edge autonomous systems. This paper introduces a series of ultra-low-power accelerator and system designs on enabling… ▽ More

    Submitted 22 February, 2022; originally announced February 2022.

    Comments: 2022 IEEE 27th Asia and South Pacific Design Automation Conference (ASP-DAC), Jan 17-20, 2022, Virtual

  12. arXiv:2202.08952  [pdf

    cs.AR

    An Energy-Efficient and Runtime-Reconfigurable FPGA-Based Accelerator for Robotic Localization Systems

    Authors: Qiang Liu, Zishen Wan, Bo Yu, Weizhuang Liu, Shaoshan Liu, Arijit Raychowdhury

    Abstract: Simultaneous Localization and Map** (SLAM) estimates agents' trajectories and constructs maps, and localization is a fundamental kernel in autonomous machines at all computing scales, from drones, AR, VR to self-driving cars. In this work, we present an energy-efficient and runtime-reconfigurable FPGA-based accelerator for robotic localization. We exploit SLAM-specific data locality, sparsity, r… ▽ More

    Submitted 14 April, 2022; v1 submitted 17 February, 2022; originally announced February 2022.

    Comments: First three authors contributed equally. 2 pages, 6 figures, IEEE Custom Integrated Circuits Conference (CICC), April 24-27, 2022, Newport Beach, CA, USA

  13. arXiv:2111.04957  [pdf, other

    cs.RO

    Analyzing and Improving Fault Tolerance of Learning-Based Navigation Systems

    Authors: Zishen Wan, Aqeel Anwar, Yu-Shun Hsiao, Tianyu Jia, Vijay Janapa Reddi, Arijit Raychowdhury

    Abstract: Learning-based navigation systems are widely used in autonomous applications, such as robotics, unmanned vehicles and drones. Specialized hardware accelerators have been proposed for high-performance and energy-efficiency for such navigational tasks. However, transient and permanent faults are increasing in hardware systems and can catastrophically violate tasks safety. Meanwhile, traditional redu… ▽ More

    Submitted 9 November, 2021; originally announced November 2021.

    Comments: Accepted in 58th ACM/IEEE Design Automation Conference (DAC), 2021

  14. arXiv:2109.08231  [pdf, other

    cs.LG

    RAPID-RL: A Reconfigurable Architecture with Preemptive-Exits for Efficient Deep-Reinforcement Learning

    Authors: Adarsh Kumar Kosta, Malik Aqeel Anwar, Priyadarshini Panda, Arijit Raychowdhury, Kaushik Roy

    Abstract: Present-day Deep Reinforcement Learning (RL) systems show great promise towards building intelligent agents surpassing human-level performance. However, the computational complexity associated with the underlying deep neural networks (DNNs) leads to power-hungry implementations. This makes deep RL systems unsuitable for deployment on resource-constrained edge devices. To address this challenge, we… ▽ More

    Submitted 16 September, 2021; originally announced September 2021.

  15. arXiv:2105.12882  [pdf, other

    cs.RO

    MAVFI: An End-to-End Fault Analysis Framework with Anomaly Detection and Recovery for Micro Aerial Vehicles

    Authors: Yu-Shun Hsiao, Zishen Wan, Tianyu Jia, Radhika Ghosal, Abdulrahman Mahmoud, Arijit Raychowdhury, David Brooks, Gu-Yeon Wei, Vijay Janapa Reddi

    Abstract: Safety and resilience are critical for autonomous unmanned aerial vehicles (UAVs). We introduce MAVFI, the micro aerial vehicles (MAVs) resilience analysis methodology to assess the effect of silent data corruption (SDC) on UAVs' mission metrics, such as flight time and success rate, for accurately measuring system resilience. To enhance the safety and resilience of robot systems bound by size, we… ▽ More

    Submitted 30 January, 2023; v1 submitted 26 May, 2021; originally announced May 2021.

    Comments: 6 pages, 9 figures; The first two authors have equal contributions; Accepted as a conference paper in DATE 2023

  16. arXiv:2104.05112  [pdf, other

    cs.AR cs.CV cs.RO

    iELAS: An ELAS-Based Energy-Efficient Accelerator for Real-Time Stereo Matching on FPGA Platform

    Authors: Tian Gao, Zishen Wan, Yuyang Zhang, Bo Yu, Yanjun Zhang, Shaoshan Liu, Arijit Raychowdhury

    Abstract: Stereo matching is a critical task for robot navigation and autonomous vehicles, providing the depth estimation of surroundings. Among all stereo matching algorithms, Efficient Large-scale Stereo (ELAS) offers one of the best tradeoffs between efficiency and accuracy. However, due to the inherent iterative process and unpredictable memory access pattern, ELAS can only run at 1.5-3 fps on high-end… ▽ More

    Submitted 11 April, 2021; originally announced April 2021.

    Comments: Equal contributions from first two authors. Accepted by IEEE International Conference on Artificial Intelligence Circuits and Systems (AICAS), June 6-9, 2021

  17. arXiv:2104.00192  [pdf, other

    cs.AR cs.CV cs.RO

    An Energy-Efficient Quad-Camera Visual System for Autonomous Machines on FPGA Platform

    Authors: Zishen Wan, Yuyang Zhang, Arijit Raychowdhury, Bo Yu, Yanjun Zhang, Shaoshan Liu

    Abstract: In our past few years' of commercial deployment experiences, we identify localization as a critical task in autonomous machine applications, and a great acceleration target. In this paper, based on the observation that the visual frontend is a major performance and energy consumption bottleneck, we present our design and implementation of an energy-efficient hardware architecture for ORB (Oriented… ▽ More

    Submitted 31 March, 2021; originally announced April 2021.

    Comments: To appear in IEEE International Conference on Artificial Intelligence Circuits and Systems (AICAS), June 6-9, 2021, Virtual

  18. arXiv:2103.06473  [pdf, other

    cs.LG cs.AI

    Multi-Task Federated Reinforcement Learning with Adversaries

    Authors: Aqeel Anwar, Arijit Raychowdhury

    Abstract: Reinforcement learning algorithms, just like any other Machine learning algorithm pose a serious threat from adversaries. The adversaries can manipulate the learning algorithm resulting in non-optimal policies. In this paper, we analyze the Multi-task Federated Reinforcement Learning algorithms, where multiple collaborative agents in various environments are trying to maximize the sum of discounte… ▽ More

    Submitted 11 March, 2021; originally announced March 2021.

    Comments: 14 pages, 19 figures, 4 tables, journal

  19. arXiv:2011.06139  [pdf, other

    cs.CR

    EM-X-DL: Efficient Cross-Device Deep Learning Side-Channel Attack with Noisy EM Signatures

    Authors: Josef Danial, Debayan Das, Anupam Golder, Santosh Ghosh, Arijit Raychowdhury, Shreyas Sen

    Abstract: This work presents a Cross-device Deep-Learning based Electromagnetic (EM-X-DL) side-channel analysis (SCA), achieving >90% single-trace attack accuracy on AES-128, even in the presence of significantly lower signal-to-noise ratio (SNR), compared to the previous works. With an intelligent selection of multiple training devices and proper choice of hyperparameters, the proposed 256-class deep neura… ▽ More

    Submitted 11 November, 2020; originally announced November 2020.

  20. arXiv:2009.06034  [pdf, other

    cs.RO cs.AI cs.AR

    A Survey of FPGA-Based Robotic Computing

    Authors: Zishen Wan, Bo Yu, Thomas Yuang Li, Jie Tang, Yuhao Zhu, Yu Wang, Arijit Raychowdhury, Shaoshan Liu

    Abstract: Recent researches on robotics have shown significant improvement, spanning from algorithms, mechanics to hardware architectures. Robotics, including manipulators, legged robots, drones, and autonomous vehicles, are now widely applied in diverse scenarios. However, the high computation and data complexity of robotic algorithms pose great challenges to its applications. On the one hand, CPU platform… ▽ More

    Submitted 4 March, 2021; v1 submitted 13 September, 2020; originally announced September 2020.

    Comments: To appear in IEEE Circuits and Systems Magazine (CAS-M), 2021

  21. arXiv:2008.11104  [pdf, other

    cs.CV cs.LG eess.IV

    Masked Face Recognition for Secure Authentication

    Authors: Aqeel Anwar, Arijit Raychowdhury

    Abstract: With the recent world-wide COVID-19 pandemic, using face masks have become an important part of our lives. People are encouraged to cover their faces when in public area to avoid the spread of infection. The use of these face masks has raised a serious question on the accuracy of the facial recognition system used for tracking school/office attendance and to unlock phones. Many organizations use f… ▽ More

    Submitted 25 August, 2020; originally announced August 2020.

    Comments: 8 pages, 5 figures

  22. arXiv:2008.06741  [pdf, other

    cs.AR cs.ET

    Breaking Barriers: Maximizing Array Utilization for Compute In-Memory Fabrics

    Authors: Brian Crafton, Samuel Spetalnick, Gauthaman Murali, Tushar Krishna, Sung-Kyu Lim, Arijit Raychowdhury

    Abstract: Compute in-memory (CIM) is a promising technique that minimizes data transport, the primary performance bottleneck and energy cost of most data intensive applications. This has found wide-spread adoption in accelerating neural networks for machine learning applications. Utilizing a crossbar architecture with emerging non-volatile memories (eNVM) such as dense resistive random access memory (RRAM)… ▽ More

    Submitted 15 August, 2020; originally announced August 2020.

    Comments: 6 pages, 9 figures, conference paper

  23. arXiv:2007.12331  [pdf

    cond-mat.mes-hall physics.app-ph

    An Ising Hamiltonian Solver using Stochastic Phase-Transition Nano- Oscillators

    Authors: Sourav Dutta, Abhishek Khanna, Adou S. Assoa, Hanjong Paik, Darrell Schlom, Zoltan Toroczkai, Arijit Raychowdhury, Suman Datta

    Abstract: Computationally hard problems, including combinatorial optimization, can be mapped into the problem of finding the ground-state of an Ising Hamiltonian. Building physical systems with collective computational ability and distributed parallel processing capability can accelerate the ground-state search. Here, we present a continuous-time dynamical system (CTDS) approach where the ground-state solut… ▽ More

    Submitted 28 February, 2021; v1 submitted 23 July, 2020; originally announced July 2020.

    Comments: 23 pages, 6 figures, 1 table

  24. arXiv:2006.04338  [pdf, other

    cs.LG stat.ML

    A Decentralized Policy Gradient Approach to Multi-task Reinforcement Learning

    Authors: Sihan Zeng, Aqeel Anwar, Thinh Doan, Arijit Raychowdhury, Justin Romberg

    Abstract: We develop a mathematical framework for solving multi-task reinforcement learning (MTRL) problems based on a type of policy gradient method. The goal in MTRL is to learn a common policy that operates effectively in different environments; these environments have similar (or overlap**) state spaces, but have different rewards and dynamics. We highlight two fundamental challenges in MTRL that are… ▽ More

    Submitted 27 May, 2021; v1 submitted 7 June, 2020; originally announced June 2020.

  25. arXiv:2006.03117  [pdf, other

    eess.SP cs.AR cs.ET

    Counting Cards: Exploiting Variance and Data Distributions for Robust Compute In-Memory

    Authors: Brian Crafton, Samuel Spetalnick, Arijit Raychowdhury

    Abstract: Compute in-memory (CIM) is a promising technique that minimizes data transport, the primary performance bottleneck and energy cost of most data intensive applications. This has found wide-spread adoption in accelerating neural networks for machine learning applications. Utilizing a crossbar architecture with emerging non-volatile memories (eNVM) such as dense resistive random access memory (RRAM)… ▽ More

    Submitted 13 February, 2021; v1 submitted 4 June, 2020; originally announced June 2020.

    Comments: 7 pages, 10 figures

  26. arXiv:2004.05450  [pdf, other

    cs.NE cs.RO eess.SY

    Bio-inspired Gait Imitation of Hexapod Robot Using Event-Based Vision Sensor and Spiking Neural Network

    Authors: Justin Ting, Yan Fang, Ashwin Sanjay Lele, Arijit Raychowdhury

    Abstract: Learning how to walk is a sophisticated neurological task for most animals. In order to walk, the brain must synthesize multiple cortices, neural circuits, and diverse sensory inputs. Some animals, like humans, imitate surrounding individuals to speed up their learning. When humans watch their peers, visual data is processed through a visual cortex in the brain. This complex problem of imitation-b… ▽ More

    Submitted 11 April, 2020; originally announced April 2020.

    Comments: 7 pages, 9 figures, to be published in proceeding of IEEE WCCI/IJCNN

    ACM Class: I.2.6

  27. arXiv:2003.10026  [pdf

    cs.NE cs.RO eess.SY

    Learning to Walk: Spike Based Reinforcement Learning for Hexapod Robot Central Pattern Generation

    Authors: Ashwin Sanjay Lele, Yan Fang, Justin Ting, Arijit Raychowdhury

    Abstract: Learning to walk -- i.e., learning locomotion under performance and energy constraints continues to be a challenge in legged robotics. Methods such as stochastic gradient, deep reinforcement learning (RL) have been explored for bipeds, quadrupeds and hexapods. These techniques are computationally intensive and often prohibitive for edge applications. These methods rely on complex sensors and pre-p… ▽ More

    Submitted 22 March, 2020; originally announced March 2020.

    Comments: 5 pages, 7 figures, to be published in proceeding of IEEE AICAS

    ACM Class: I.2.6

  28. arXiv:1911.04468  [pdf, other

    cs.LG

    Hardware-aware Pruning of DNNs using LFSR-Generated Pseudo-Random Indices

    Authors: Foroozan Karimzadeh, Ningyuan Cao, Brian Crafton, Justin Romberg, Arijit Raychowdhury

    Abstract: Deep neural networks (DNNs) have been emerged as the state-of-the-art algorithms in broad range of applications. To reduce the memory foot-print of DNNs, in particular for embedded applications, sparsification techniques have been proposed. Unfortunately, these techniques come with a large hardware overhead. In this paper, we present a hardware-aware pruning method where the locations of non-zero… ▽ More

    Submitted 9 November, 2019; originally announced November 2019.

  29. arXiv:1910.05547  [pdf, other

    cs.LG stat.ML

    Autonomous Navigation via Deep Reinforcement Learning for Resource Constraint Edge Nodes using Transfer Learning

    Authors: Aqeel Anwar, Arijit Raychowdhury

    Abstract: Smart and agile drones are fast becoming ubiquitous at the edge of the cloud. The usage of these drones are constrained by their limited power and compute capability. In this paper, we present a Transfer Learning (TL) based approach to reduce on-board computation required to train a deep neural network for autonomous navigation via Deep Reinforcement Learning for a target algorithmic performance.… ▽ More

    Submitted 12 October, 2019; originally announced October 2019.

  30. arXiv:1908.09407  [pdf, other

    cs.CR

    SCNIFFER: Low-Cost, Automated, Efficient Electromagnetic Side-Channel Sniffing

    Authors: Josef Danial, Debayan Das, Santosh Ghosh, Arijit Raychowdhury, Shreyas Sen

    Abstract: Electromagnetic (EM) side-channel analysis (SCA) is a prominent tool to break mathematically-secure cryptographic engines, especially on resource-constrained IoT devices. Presently, to perform EM SCA on an embedded IoT device, the entire chip is manually scanned and the MTD (Minimum Traces to Disclosure) analysis is performed at each point on the chip to reveal the secret key of the encryption alg… ▽ More

    Submitted 29 February, 2020; v1 submitted 25 August, 2019; originally announced August 2019.

  31. arXiv:1908.07942  [pdf

    cs.ET cs.NE eess.SP

    Design space exploration of Ferroelectric FET based Processing-in-Memory DNN Accelerator

    Authors: Insik Yoon, Matthew Jerry, Suman Datta, Arijit Raychowdhury

    Abstract: In this letter, we quantify the impact of device limitations on the classification accuracy of an artificial neural network, where the synaptic weights are implemented in a Ferroelectric FET (FeFET) based in-memory processing architecture. We explore a design-space consisting of the resolution of the analog-to-digital converter, number of bits per FeFET cell, and the neural network depth. We show… ▽ More

    Submitted 12 August, 2019; originally announced August 2019.

  32. Practical Approaches Towards Deep-Learning Based Cross-Device Power Side Channel Attack

    Authors: Anupam Golder, Debayan Das, Josef Danial, Santosh Ghosh, Shreyas Sen, Arijit Raychowdhury

    Abstract: Power side-channel analysis (SCA) has been of immense interest to most embedded designers to evaluate the physical security of the system. This work presents profiling-based cross-device power SCA attacks using deep learning techniques on 8-bit AVR microcontroller devices running AES-128. Firstly, we show the practical issues that arise in these profiling-based cross-device attacks due to signific… ▽ More

    Submitted 5 July, 2019; originally announced July 2019.

    Comments: Article has been accepted for Publication in IEEE Transactions for VLSI Systems

  33. arXiv:1905.06314  [pdf

    cs.OH cs.AR

    Transfer and Online Reinforcement Learning in STT-MRAM Based Embedded Systems for Autonomous Drones

    Authors: Insik Yoon, Aqeel Anwar, Titash Rakshit, Arijit Raychowdhury

    Abstract: In this paper we present an algorithm-hardware codesign for camera-based autonomous flight in small drones. We show that the large write-latency and write-energy for nonvolatile memory (NVM) based embedded systems makes them unsuitable for real-time reinforcement learning (RL). We address this by performing transfer learning (TL) on metaenvironments and RL on the last few layers of a deep convolut… ▽ More

    Submitted 21 April, 2019; originally announced May 2019.

  34. arXiv:1903.02083  [pdf, other

    cs.NE cs.LG stat.ML

    Direct Feedback Alignment with Sparse Connections for Local Learning

    Authors: Brian Crafton, Abhinav Parihar, Evan Gebhardt, Arijit Raychowdhury

    Abstract: Recent advances in deep neural networks (DNNs) owe their success to training algorithms that use backpropagation and gradient-descent. Backpropagation, while highly effective on von Neumann architectures, becomes inefficient when scaling to large networks. Commonly referred to as the weight transport problem, each neuron's dependence on the weights and errors located deeper in the network require… ▽ More

    Submitted 9 May, 2019; v1 submitted 30 January, 2019; originally announced March 2019.

    Comments: 15 pages, 8 figures

  35. arXiv:1903.00100  [pdf, other

    cs.CV cs.LG stat.ML

    Appearance-based Gesture recognition in the compressed domain

    Authors: Shaojie Xu, Anvesha Amaravati, Justin Romberg, Arijit Raychowdhury

    Abstract: We propose a novel appearance-based gesture recognition algorithm using compressed domain signal processing techniques. Gesture features are extracted directly from the compressed measurements, which are the block averages and the coded linear combinations of the image sensor's pixel values. We also improve both the computational efficiency and the memory requirement of the previous DTW-based K-NN… ▽ More

    Submitted 19 February, 2019; originally announced March 2019.

    Comments: arXiv admin note: text overlap with arXiv:1605.08313

    Journal ref: 2017 IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP), New Orleans, LA, 2017, pp. 1722-1726

  36. arXiv:1807.08241  [pdf, other

    cs.LG cs.CV cs.RO stat.ML

    NAVREN-RL: Learning to fly in real environment via end-to-end deep reinforcement learning using monocular images

    Authors: Malik Aqeel Anwar, Arijit Raychowdhury

    Abstract: We present NAVREN-RL, an approach to NAVigate an unmanned aerial vehicle in an indoor Real ENvironment via end-to-end reinforcement learning RL. A suitable reward function is designed kee** in mind the cost and weight constraints for micro drone with minimum number of sensing modalities. Collection of small number of expert data and knowledge based data aggregation is integrated into the RL proc… ▽ More

    Submitted 22 July, 2018; originally announced July 2018.

  37. Stochastic IMT (insulator-metal-transition) neurons: An interplay of thermal and threshold noise at bifurcation

    Authors: Abhinav Parihar, Matthew Jerry, Suman Datta, Arijit Raychowdhury

    Abstract: Artificial neural networks can harness stochasticity in multiple ways to enable a vast class of computationally powerful models. Electronic implementation of such stochastic networks is currently limited to addition of algorithmic noise to digital machines which is inherently inefficient; albeit recent efforts to harness physical noise in devices for stochasticity have shown promise. To succeed in… ▽ More

    Submitted 28 March, 2018; v1 submitted 16 August, 2017; originally announced August 2017.

    Comments: Added sectioning, Figure 6, Table 1, and Section II.E Updated abstract, discussion and corrected typos

  38. High Efficiency Power Side-Channel Attack Immunity using Noise Injection in Attenuated Signature Domain

    Authors: Debayan Das, Shovan Maity, Saad Bin Nasir, Santosh Ghosh, Arijit Raychowdhury, Shreyas Sen

    Abstract: With the advancement of technology in the last few decades, leading to the widespread availability of miniaturized sensors and internet-connected things (IoT), security of electronic devices has become a top priority. Side-channel attack (SCA) is one of the prominent methods to break the security of an encryption system by exploiting the information leaked from the physical devices. Correlational… ▽ More

    Submitted 8 May, 2017; v1 submitted 30 March, 2017; originally announced March 2017.

    Comments: IEEE International Symposium on Hardware Oriented Security and Trust (HOST) 2017

  39. arXiv:1609.02079  [pdf, other

    cs.ET cond-mat.other math.DS

    Vertex coloring of graphs via phase dynamics of coupled oscillatory networks

    Authors: Abhinav Parihar, Nikhil Shukla, Matthew Jerry, Suman Datta, Arijit Raychowdhury

    Abstract: While Boolean logic has been the backbone of digital information processing, there are classes of computationally hard problems wherein this conventional paradigm is fundamentally inefficient. Vertex coloring of graphs, belonging to the class of combinatorial optimization represents such a problem; and is well studied for its wide spectrum of applications in data sciences, life sciences, social sc… ▽ More

    Submitted 16 March, 2017; v1 submitted 7 September, 2016; originally announced September 2016.

    Journal ref: Scientific Reports 7 (2017) 911

  40. arXiv:1608.05648  [pdf, other

    cs.ET cond-mat.mes-hall math.DS

    Computing with Dynamical Systems Based on Insulator-Metal-Transition Oscillators

    Authors: Abhinav Parihar, Nikhil Shukla, Matthew Jerry, Suman Datta, Arijit Raychowdhury

    Abstract: In this paper we review recent work on novel computing paradigms using coupled oscillatory dynamical systems. We explore systems of relaxation oscillators based on linear state transitioning devices, which switch between two discrete states with hysteresis. By harnessing the dynamics of complex, connected systems we embrace the philosophy of "let physics do the computing" and demonstrate how compl… ▽ More

    Submitted 19 August, 2016; originally announced August 2016.

    Comments: Submitted to Journal of Nanophotonics for review

  41. arXiv:1605.08313  [pdf, other

    cs.CV cs.HC

    A Light-powered, Always-On, Smart Camera with Compressed Domain Gesture Detection

    Authors: Anvesha A, Shaojie Xu, Ningyuan Cao, Justin Romberg, Arijit Raychowdhury

    Abstract: In this paper we propose an energy-efficient camera-based gesture recognition system powered by light energy for "always on" applications. Low energy consumption is achieved by directly extracting gesture features from the compressed measurements, which are the block averages and the linear combinations of the image sensor's pixel values. The gestures are recognized using a nearest-neighbour (NN)… ▽ More

    Submitted 16 August, 2016; v1 submitted 26 May, 2016; originally announced May 2016.

  42. arXiv:1501.00579  [pdf

    cs.AR

    A Model Study of an All-Digital, Discrete-Time and Embedded Linear Regulator

    Authors: Saad Bin Nasir, Arijit Raychowdhury

    Abstract: With an increasing number of power-states, finer- grained power management and larger dynamic ranges of digital circuits, the integration of compact, scalable linear-regulators embedded deep within logic blocks has become important. While analog linear-regulators have traditionally been used in digital ICs, the need for digitally implementable designs that can be synthesized and embedded in digita… ▽ More

    Submitted 3 January, 2015; originally announced January 2015.

    Comments: Submitted

  43. Synchronization of pairwise-coupled, identical, relaxation oscillators based on metal-insulator phase transition devices: A Model Study

    Authors: Abhinav Parihar, Nikhil Shukla, Suman Datta, Arijit Raychowdhury

    Abstract: Computing with networks of synchronous oscillators has attracted wide-spread attention as novel materials and device topologies have enabled realization of compact, scalable and low-power coupled oscillatory systems. Of particular interest are compact and low-power relaxation oscillators that have been recently demonstrated using MIT (metal- insulator-transition) devices using properties of correl… ▽ More

    Submitted 11 August, 2014; originally announced August 2014.

    Comments: Submitted for review to (AIP) Journal of Applied Physics