-
The Low Dimensional Homology of Projective Linear Group of Rank Two
Authors:
Behrooz Mirzaii,
Elvis Torres Pérez
Abstract:
In this article we study the low dimensional homology of the projective linear group $\textrm{PGL}_2(A)$ over a $\textrm{GE}_2$-ring $A$. In particular, we prove a Bloch-Wigner type exact sequence over local domains. As applications we prove that $H_2(\textrm{PGL}_2(A),\mathbb{Z}\left[\frac{1}{2}\right])\simeq K_2(A)\left[\frac{1}{2}\right]$ and…
▽ More
In this article we study the low dimensional homology of the projective linear group $\textrm{PGL}_2(A)$ over a $\textrm{GE}_2$-ring $A$. In particular, we prove a Bloch-Wigner type exact sequence over local domains. As applications we prove that $H_2(\textrm{PGL}_2(A),\mathbb{Z}\left[\frac{1}{2}\right])\simeq K_2(A)\left[\frac{1}{2}\right]$ and $H_3(\textrm{PGL}_2(A),\mathbb{Z}\left[\frac{1}{2}\right])\simeq K_3^{\textrm{ind}}(A)\left[\frac{1}{2}\right]$.
△ Less
Submitted 14 May, 2024;
originally announced May 2024.
-
On the connections between the low dimensional homology groups of $\textrm{SL}_2$ and $\textrm{PSL}_2$
Authors:
Behrooz Mirzaii,
Elvis Torres Pérez
Abstract:
In this article we study the low dimensional homology groups of the special linear group $\textrm{SL}_2(A)$ and the projective special linear group $\textrm{PSL}_2(A)$, $A$ a domain, through the natural surjective map $\textrm{SL}_2(A) \to \textrm{PSL}_2(A)$. In particular, we study the connection of the first, the second and the third homology groups of these groups over euclidean domains…
▽ More
In this article we study the low dimensional homology groups of the special linear group $\textrm{SL}_2(A)$ and the projective special linear group $\textrm{PSL}_2(A)$, $A$ a domain, through the natural surjective map $\textrm{SL}_2(A) \to \textrm{PSL}_2(A)$. In particular, we study the connection of the first, the second and the third homology groups of these groups over euclidean domains $\mathbb{Z}[\frac{1}{m}]$, $m$ a square free integer, and local domains.
△ Less
Submitted 18 June, 2024; v1 submitted 12 February, 2024;
originally announced February 2024.
-
Recovering target causal effects from post-exposure selection induced by missing outcome data
Authors:
Johan de Aguas,
Johan Pensar,
Tomás Varnet Pérez,
Guido Biele
Abstract:
Confounding bias and selection bias are two significant challenges to the validity of conclusions drawn from applied causal inference. The latter can arise through informative missingness, wherein relevant information about units in the target population is missing, censored, or coarsened due to factors related to the exposure, the outcome, or their consequences. We extend existing graphical crite…
▽ More
Confounding bias and selection bias are two significant challenges to the validity of conclusions drawn from applied causal inference. The latter can arise through informative missingness, wherein relevant information about units in the target population is missing, censored, or coarsened due to factors related to the exposure, the outcome, or their consequences. We extend existing graphical criteria to address selection bias induced by missing outcome data by leveraging post-exposure variables. We introduce the Sequential Adjustment Criteria (SAC), which support recovering causal effects through sequential regressions. A refined estimator is further developed by applying Targeted Minimum-Loss Estimation (TMLE). Under certain regularity conditions, this estimator is multiply-robust, ensuring consistency even in scenarios where the Inverse Probability Weighting (IPW) and the sequential regressions approaches fall short. A simulation exercise featuring various toy scenarios compares the relative bias and robustness of the two proposed solutions against other estimators. As a motivating application case, we study the effects of pharmacological treatment for Attention-Deficit/Hyperactivity Disorder (ADHD) upon the scores obtained by diagnosed Norwegian schoolchildren in national tests using observational data ($n=9\,352$). Our findings support the accumulated clinical evidence affirming a positive but small effect of stimulant medication on school performance. A small positive selection bias was identified, indicating that the treatment effect may be even more modest for those exempted or abstained from the tests.
△ Less
Submitted 30 January, 2024;
originally announced January 2024.
-
The abelianization of the elementary group of rank two
Authors:
Behrooz Mirzaii,
Elvis Torres Pérez
Abstract:
For an arbitrary ring $A$, we study the abelianization of the elementary group $\textrm{E}_2(A)$. In particular, we show that for a commutative ring $A$ there exists an exact sequence \[ K_2(2,A)/C(2,A) \to A/M \to \textrm{E}_2(A)^\textrm{ab} \to 1, \] where $C(2,A)$ is the subgroup of the Steinberg group $\textrm{St}(2,A)$ generated by the Steinberg symbols and $M$ is the additive subgroup of…
▽ More
For an arbitrary ring $A$, we study the abelianization of the elementary group $\textrm{E}_2(A)$. In particular, we show that for a commutative ring $A$ there exists an exact sequence \[ K_2(2,A)/C(2,A) \to A/M \to \textrm{E}_2(A)^\textrm{ab} \to 1, \] where $C(2,A)$ is the subgroup of the Steinberg group $\textrm{St}(2,A)$ generated by the Steinberg symbols and $M$ is the additive subgroup of $A$ generated by $x(a^2-1)$ and $3(b+1)(c+1)$, with $x\in A$, $a,b,c \in A^{\times}$.
△ Less
Submitted 15 January, 2024; v1 submitted 11 January, 2024;
originally announced January 2024.
-
The Third Homology of Projective Special Linear Group of Rank Two
Authors:
Behrooz Mirzaii,
Elvis Torres Pérez
Abstract:
In this paper we investigate the third homology of the projective special linear group $\textrm{PSL}_2(A)$. As a result of our investigation we prove a projective refined Bloch-Wigner exact sequence over certain class of rings. The projective Bloch-Wigner exact sequence over algebraically closed fields is a classical result.
In this paper we investigate the third homology of the projective special linear group $\textrm{PSL}_2(A)$. As a result of our investigation we prove a projective refined Bloch-Wigner exact sequence over certain class of rings. The projective Bloch-Wigner exact sequence over algebraically closed fields is a classical result.
△ Less
Submitted 8 January, 2024;
originally announced January 2024.
-
The distribution amplitude of the $η_c$ meson
Authors:
Miguel Teseo San José Pérez,
Benoît Blossier,
Mariane Mangin-Brinet,
José Manuel Morgado Chávez
Abstract:
We report on the first lattice determination of the pseudoscalar meson $η_c$ light-cone distribution amplitude, using a set of three CLS $N_f=2$ ensembles at a pion mass $m_π \sim 270~\text{MeV}$ and lattice spacings $a \sim 0.076~\text{fm}$, $0.066~\text{fm}$ and $0.049~\text{fm}$. Employing Short Distance Factorization, we extract the pseudo-DA on the lattice for Ioffe times $ν\leq 4.5$, and the…
▽ More
We report on the first lattice determination of the pseudoscalar meson $η_c$ light-cone distribution amplitude, using a set of three CLS $N_f=2$ ensembles at a pion mass $m_π \sim 270~\text{MeV}$ and lattice spacings $a \sim 0.076~\text{fm}$, $0.066~\text{fm}$ and $0.049~\text{fm}$. Employing Short Distance Factorization, we extract the pseudo-DA on the lattice for Ioffe times $ν\leq 4.5$, and the various lattice spacings allow us to take the continuum limit. We employ a basis of Jacobi polynomials to parametrize the distribution amplitude, which allows to express the matching to the pseudo distribution in closed form, and we observe a strong effect which we attribute to the heavy charm-quark mass.
△ Less
Submitted 20 November, 2023; v1 submitted 16 November, 2023;
originally announced November 2023.
-
Lax-type pairs in the theory of bivariate orthogonal polynomials
Authors:
Amílcar Branquinho,
Ana Foulquié-Moreno,
Teresa E. Pérez,
Miguel A. Piñar
Abstract:
Sequences of bivariate orthogonal polynomials written as vector polynomials of increasing size satisfy a couple of three term relations with matrix coefficients. In this work, introducing a time-dependent parameter, we analyse a Lax-type pair system for the coefficients of the three term relations. We also deduce several characterizations relating the Lax-type pair, the shape of the weight, Stielt…
▽ More
Sequences of bivariate orthogonal polynomials written as vector polynomials of increasing size satisfy a couple of three term relations with matrix coefficients. In this work, introducing a time-dependent parameter, we analyse a Lax-type pair system for the coefficients of the three term relations. We also deduce several characterizations relating the Lax-type pair, the shape of the weight, Stieltjes function, moments, a differential equation for the weight, and the bidimensional Toda-type systems.
△ Less
Submitted 10 November, 2023;
originally announced November 2023.
-
Centrosymmetric and reverse matrices in bivariate orthogonal polynomials
Authors:
Cleonice F. Bracciali,
Glalco S. Costa,
Teresa E. Pérez
Abstract:
We introduce the concept of reflexive moment functional in two variables and the definition of reflexive orthogonal polynomial system. Also reverse matrices and their interesting algebraic properties are studied. Reverse matrices and reflexive polynomial systems are directly connected in the context of bivariate orthogonal polynomials. Centrosymmetric matrices, reverse matrices and their connectio…
▽ More
We introduce the concept of reflexive moment functional in two variables and the definition of reflexive orthogonal polynomial system. Also reverse matrices and their interesting algebraic properties are studied. Reverse matrices and reflexive polynomial systems are directly connected in the context of bivariate orthogonal polynomials. Centrosymmetric matrices, reverse matrices and their connections with reflexive orthogonal polynomial systems are presented. Finally, several particular cases and examples are analysed.
△ Less
Submitted 12 October, 2023;
originally announced October 2023.
-
Neural Relational Inference with Fast Modular Meta-learning
Authors:
Ferran Alet,
Erica Weng,
Tomás Lozano Pérez,
Leslie Pack Kaelbling
Abstract:
\textit{Graph neural networks} (GNNs) are effective models for many dynamical systems consisting of entities and relations. Although most GNN applications assume a single type of entity and relation, many situations involve multiple types of interactions. \textit{Relational inference} is the problem of inferring these interactions and learning the dynamics from observational data. We frame relatio…
▽ More
\textit{Graph neural networks} (GNNs) are effective models for many dynamical systems consisting of entities and relations. Although most GNN applications assume a single type of entity and relation, many situations involve multiple types of interactions. \textit{Relational inference} is the problem of inferring these interactions and learning the dynamics from observational data. We frame relational inference as a \textit{modular meta-learning} problem, where neural modules are trained to be composed in different ways to solve many tasks. This meta-learning framework allows us to implicitly encode time invariance and infer relations in context of one another rather than independently, which increases inference capacity. Framing inference as the inner-loop optimization of meta-learning leads to a model-based approach that is more data-efficient and capable of estimating the state of entities that we do not observe directly, but whose existence can be inferred from their effect on observed entities. To address the large search space of graph neural network compositions, we meta-learn a \textit{proposal function} that speeds up the inner-loop simulated annealing search within the modular meta-learning algorithm, providing two orders of magnitude increase in the size of problems that can be addressed.
△ Less
Submitted 10 October, 2023;
originally announced October 2023.
-
Effect of interatomic repulsion on Majorana zero modes in a coupled quantum-dot-superconducting-nanowire hybrid system
Authors:
R. Kenyi Takagui Perez,
A. A. Aligia
Abstract:
We study the low-energy eigenstates of a topological superconductor wire modeled by a Kitaev chain, which is connected at one of its ends to a quantum dot through nearest-neighbor (NN) hop** and NN Coulomb repulsion. Using an unrestricted Hartree-Fock approximation to decouple the Coulomb term, we obtain that the quality of the Majorana end states is seriously affected by this term only when the…
▽ More
We study the low-energy eigenstates of a topological superconductor wire modeled by a Kitaev chain, which is connected at one of its ends to a quantum dot through nearest-neighbor (NN) hop** and NN Coulomb repulsion. Using an unrestricted Hartree-Fock approximation to decouple the Coulomb term, we obtain that the quality of the Majorana end states is seriously affected by this term only when the dependence of the low-lying energies with the energy of the quantum dot shows a "diamond" shape, characteristic of short wires. We discuss limitations of the simplest effective models to describe the physics. We expect the same behavior in more realistic models for topological superconducting wires.
△ Less
Submitted 10 January, 2024; v1 submitted 19 September, 2023;
originally announced September 2023.
-
Impact of Orientation on the Bias of SRAM-Based PUFs
Authors:
Zain Ul Abideen,
Rui Wang,
Tiago Diadami Perez,
Geert-Jan Schrijen,
Samuel Pagliarini
Abstract:
This paper investigates the impact of memory orientation on the bias pattern of SRAM-based PUFs. We designed and fabricated a 65nm CMOS chip that contains eleven SRAM macros that exercise different memory- and chip-level parameters. At the memory level, several parameters passed to the SRAM compiler are considered, including the number of addresses, the number of words, the aspect ratio, and the c…
▽ More
This paper investigates the impact of memory orientation on the bias pattern of SRAM-based PUFs. We designed and fabricated a 65nm CMOS chip that contains eleven SRAM macros that exercise different memory- and chip-level parameters. At the memory level, several parameters passed to the SRAM compiler are considered, including the number of addresses, the number of words, the aspect ratio, and the chosen bitcell. Chip-level decisions are considered during the floorplan, including the location and rotation of each SRAM macro in the testchip. In this study, we conduct a comprehensive analysis of different memory orientations and their effect on the biasing direction. Physical measurements performed on 50 fabricated chips revealed that specific memory orientations, namely R270 and MY90, exhibit a distinct negative biasing direction compared to other orientations. Importantly, this biasing direction remains consistent regardless of memory type, column mux ratio, memory size, or the utilization of SRAMs with different bitcells. Overall, this study highlights the significance of careful physical implementation and memory orientation selection in designing SRAM-based PUFs. Our findings can guide designers in the selection of SRAM memories with properties that make for better PUFs that potentially require less error correction effort to compensate for instability.
△ Less
Submitted 13 August, 2023;
originally announced August 2023.
-
SALSy: Security-Aware Layout Synthesis
Authors:
Mohammad Eslami,
Tiago Perez,
Samuel Pagliarini
Abstract:
Integrated Circuits (ICs) are the target of diverse attacks during their lifetime. Fabrication-time attacks, such as the insertion of Hardware Trojans, can give an adversary access to privileged data and/or the means to corrupt the IC's internal computation. Post-fabrication attacks, where the end-user takes a malicious role, also attempt to obtain privileged information through means such as faul…
▽ More
Integrated Circuits (ICs) are the target of diverse attacks during their lifetime. Fabrication-time attacks, such as the insertion of Hardware Trojans, can give an adversary access to privileged data and/or the means to corrupt the IC's internal computation. Post-fabrication attacks, where the end-user takes a malicious role, also attempt to obtain privileged information through means such as fault injection and probing. Taking these threats into account and at the same time, this paper proposes a methodology for Security-Aware Layout Synthesis (SALSy), such that ICs can be designed with security in mind in the same manner as power-performance-area (PPA) metrics are considered today, a concept known as security closure. Furthermore, the trade-offs between PPA and security are considered and a chip is fabricated in a 65nm CMOS commercial technology for validation purposes - a feature not seen in previous research on security closure. Measurements on the fabricated ICs indicate that SALSy promotes a modest increase in power in order to achieve significantly improved security metrics.
△ Less
Submitted 21 August, 2023; v1 submitted 11 August, 2023;
originally announced August 2023.
-
A Refined scissors congruence group and the third homology of $\textrm{SL}_2$
Authors:
Behrooz Mirzaii,
Elvis Torres Pérez
Abstract:
There is a natural connection between the third homology of $\textrm{SL}_2(A)$ and the refined Bloch group $\mathcal{RB}(A)$ of a commutative ring $A$. In this article we investigate this connection and as the main result we show that if $A$ is a universal $\textrm{GE}_2$-domain such that $-1 \in A^{\times 2}$, then we have the exact sequence…
▽ More
There is a natural connection between the third homology of $\textrm{SL}_2(A)$ and the refined Bloch group $\mathcal{RB}(A)$ of a commutative ring $A$. In this article we investigate this connection and as the main result we show that if $A$ is a universal $\textrm{GE}_2$-domain such that $-1 \in A^{\times 2}$, then we have the exact sequence $H_3(\textrm{SM}_2(A),\mathbb{Z}) \to H_3(\textrm{SL}_2(A),\mathbb{Z}) \to \mathcal{RB}(A) \to 0$, where $\textrm{SM}_2(A)$ is the group of monomial matrices in $\textrm{SL}_2(A)$. Moreover we show that $\mathcal{RP}_1(A)$, the refined scissors congruence group of $A$, naturally is isomorph with the relative homology group $H_3(\textrm{SL}_2(A), \textrm{SM}_2(A),\mathbb{Z})$.
△ Less
Submitted 15 January, 2024; v1 submitted 17 July, 2023;
originally announced July 2023.
-
Quantum Covariance Scalar Products and Efficient Estimation of Max-Ent Projections
Authors:
F. T. B. Pérez,
J. M. Matera
Abstract:
The maximum-entropy principle (Max-Ent) is a valuable and extensively used tool in statistical mechanics and quantum information theory. It provides a method for inferring the state of a system by utilizing a reduced set of parameters associated with measurable quantities. However, the computational cost of employing Max-Ent projections in simulations of quantum many-body systems is a significant…
▽ More
The maximum-entropy principle (Max-Ent) is a valuable and extensively used tool in statistical mechanics and quantum information theory. It provides a method for inferring the state of a system by utilizing a reduced set of parameters associated with measurable quantities. However, the computational cost of employing Max-Ent projections in simulations of quantum many-body systems is a significant drawback, primarily due to the computational cost of evaluating these projections. In this work, a different approach for estimating Max-Ent projections is proposed. The approach involves replacing the expensive Max-Ent induced local geometry, represented by the Kubo-Mori-Bogoliubov (KMB) scalar product, with a less computationally demanding geometry. Specifically, a new local geometry is defined in terms of the quantum analog of the covariance scalar product for classical random variables. Relations between induced distances and projections for both products are explored. Connections with standard variational and dynamical Mean-Field approaches are discussed. The effectiveness of the approach is calibrated and illustrated by its application to the dynamic of excitations in a XX Heisenberg spin-$\frac{1}{2}$ chain model.
△ Less
Submitted 29 February, 2024; v1 submitted 17 July, 2023;
originally announced July 2023.
-
A refined Bloch-Wigner exact sequence in characteristic 2
Authors:
Behrooz Mirzaii,
Elvis Torres Pérez
Abstract:
Let $A$ be a local domain of characteristic $2$ such that its residue field has more than $64$ elements. Then we find an exact relation between the third integral homology of the group $\mathrm{SL}_2(A)$ and Hutchinson's refined Bloch group $\mathcal{RB}(A)$.
Let $A$ be a local domain of characteristic $2$ such that its residue field has more than $64$ elements. Then we find an exact relation between the third integral homology of the group $\mathrm{SL}_2(A)$ and Hutchinson's refined Bloch group $\mathcal{RB}(A)$.
△ Less
Submitted 14 April, 2023;
originally announced April 2023.
-
Compositionality of planar perfect matchings
Authors:
Titouan Carette,
Etienne Moutot,
Thomas Perez,
Renaud Vilmart
Abstract:
We exhibit a strong connection between the matchgate formalism introduced by Valiant and the ZW-calculus of Coecke and Kissinger. This connection provides a natural compositional framework for matchgate theory as well as a direct combinatorial interpretation of the diagrams of ZW-calculus through the perfect matchings of their underlying graphs.
We identify a precise fragment of ZW-calculus, the…
▽ More
We exhibit a strong connection between the matchgate formalism introduced by Valiant and the ZW-calculus of Coecke and Kissinger. This connection provides a natural compositional framework for matchgate theory as well as a direct combinatorial interpretation of the diagrams of ZW-calculus through the perfect matchings of their underlying graphs.
We identify a precise fragment of ZW-calculus, the planar W-calculus, that we prove to be complete and universal for matchgates, that are linear maps satisfying the matchgate identities. Computing scalars of the planar W-calculus corresponds to counting perfect matchings of planar graphs, and so can be carried in polynomial time using the FKT algorithm, making the planar W-calculus an efficiently simulable fragment of the ZW-calculus, in a similar way that the Clifford fragment is for ZX-calculus. This work opens new directions for the investigation of the combinatorial properties of ZW-calculus as well as the study of perfect matching counting through compositional diagrammatical technics.
△ Less
Submitted 17 February, 2023;
originally announced February 2023.
-
Sobolev orthogonal polynomials on the conic surface
Authors:
Lidia Fernandez,
Teresa Perez,
Miguel Pinar,
Yuan Xu
Abstract:
Orthogonal polynomials with respect to the weight function $w_{β,γ}(t) = t^β(1-t)^γ$, $γ> -1$, on the conic surface $\{(x,t): \|x\| = t, \, x \in \mathbb{R}^d, \, t \le 1\}$ are studied recently, and they are shown to be eigenfunctions of a second order differential operator $\mathcal{D}_γ$ when $β=-1$. We extend the setting to the Sobolev inner product, defined as the integration of the $s$-th pa…
▽ More
Orthogonal polynomials with respect to the weight function $w_{β,γ}(t) = t^β(1-t)^γ$, $γ> -1$, on the conic surface $\{(x,t): \|x\| = t, \, x \in \mathbb{R}^d, \, t \le 1\}$ are studied recently, and they are shown to be eigenfunctions of a second order differential operator $\mathcal{D}_γ$ when $β=-1$. We extend the setting to the Sobolev inner product, defined as the integration of the $s$-th partial derivatives in $t$ variable with respect to $w_{β+s,0}$ over the conic surface plus a sum of integrals over the rim of the cone. Our main results provide an explicit construction of an orthogonal basis and a formula for the orthogonal projection operators; the latter is used to exploit the interaction of differential operators and the projection operator, which allows us to study the convergence of the Fourier orthogonal series. The study can be regarded as an extension of the orthogonal structure to the weight function $w_{β, -s}$ for a positive integer $s$. It shows, in particular, that the Sobolev orthogonal polynomials are eigenfunctions of $\mathcal{D}_γ$ when $γ= -1$.
△ Less
Submitted 16 September, 2022;
originally announced September 2022.
-
2D-Block Geminals: a non 1-orthogonal and non 0-seniority model with reduced computational complexity
Authors:
Patrick Cassam-Chenaï,
Thomas Perez,
Davide Accomasso
Abstract:
We present a new geminal product wave function ansatz where the geminals are not constrained to be strongly orthogonal nor to be of seniority zero. Instead, we introduce weaker orthogonality constraints between geminals which significantly lower the computational effort, without sacrificing the indistinguishability of the electrons. That is to say, the electron pairs corresponding to the geminals…
▽ More
We present a new geminal product wave function ansatz where the geminals are not constrained to be strongly orthogonal nor to be of seniority zero. Instead, we introduce weaker orthogonality constraints between geminals which significantly lower the computational effort, without sacrificing the indistinguishability of the electrons. That is to say, the electron pairs corresponding to the geminals are not fully distinguishable, and their product has still to be antisymmetrized according to the Pauli principle to form a \textit{bona fide} electronic wave function.Our geometrical constraints translate into simple equations involving the traces of products of our geminal matrices. In the simplest non-trivial model, a set of solutions is given by block-diagonal matrices where each block is of size 2x2 and consists of either a Pauli matrix or a normalized diagonal matrix, multiplied by a complex parameter to be optimized. With this simplified ansatz for geminals, the number of terms in the calculation of the matrix elements of quantum observables is considerably reduced. A proof of principle is reported and confirms that the ansatz is more accurate than strongly orthogonal geminal products while remaining computationally affordable.
△ Less
Submitted 6 January, 2023; v1 submitted 2 September, 2022;
originally announced September 2022.
-
Two variable Freud orthogonal polynomials and matrix Painlevé-type difference equations
Authors:
Cleonice F. Bracciali,
Glalco S. Costa,
Teresa E. Pérez
Abstract:
We study bivariate orthogonal polynomials associated with Freud weight functions depending on real parameters. We analyze relations between the matrix coefficients of the three term relations for the orthonormal polynomials as well as the coefficients of the structure relations satisfied by these bivariate semiclassical orthogonal polynomials, also a matrix differential-difference equation for the…
▽ More
We study bivariate orthogonal polynomials associated with Freud weight functions depending on real parameters. We analyze relations between the matrix coefficients of the three term relations for the orthonormal polynomials as well as the coefficients of the structure relations satisfied by these bivariate semiclassical orthogonal polynomials, also a matrix differential-difference equation for the bivariate orthogonal polynomials is deduced. The extension of the Painlevé equation for the coefficients of the three term relations to the bivariate case and a two dimensional version of the Langmuir lattice are obtained.
△ Less
Submitted 22 August, 2022;
originally announced August 2022.
-
A Pragmatic Methodology for Blind Hardware Trojan Insertion in Finalized Layouts
Authors:
Alexander Hepp,
Tiago Perez,
Samuel Pagliarini,
Georg Sigl
Abstract:
A potential vulnerability for integrated circuits (ICs) is the insertion of hardware trojans (HTs) during manufacturing. Understanding the practicability of such an attack can lead to appropriate measures for mitigating it. In this paper, we demonstrate a pragmatic framework for analyzing HT susceptibility of finalized layouts. Our framework is representative of a fabrication-time attack, where th…
▽ More
A potential vulnerability for integrated circuits (ICs) is the insertion of hardware trojans (HTs) during manufacturing. Understanding the practicability of such an attack can lead to appropriate measures for mitigating it. In this paper, we demonstrate a pragmatic framework for analyzing HT susceptibility of finalized layouts. Our framework is representative of a fabrication-time attack, where the adversary is assumed to have access only to a layout representation of the circuit. The framework inserts trojans into tapeout-ready layouts utilizing an Engineering Change Order (ECO) flow. The attacked security nodes are blindly searched utilizing reverse-engineering techniques. For our experimental investigation, we utilized three crypto-cores (AES-128, SHA-256, and RSA) and a microcontroller (RISC-V) as targets. We explored 96 combinations of triggers, payloads and targets for our framework. Our findings demonstrate that even in high-density designs, the covert insertion of sophisticated trojans is possible. All this while maintaining the original target logic, with minimal impact on power and performance. Furthermore, from our exploration, we conclude that it is too naive to only utilize placement resources as a metric for HT vulnerability. This work highlights that the HT insertion success is a complex function of the placement, routing resources, the position of the attacked nodes, and further design-specific characteristics. As a result, our framework goes beyond just an attack, we present the most advanced analysis tool to assess the vulnerability of HT insertion into finalized layouts.
△ Less
Submitted 19 August, 2022;
originally announced August 2022.
-
A Security-aware and LUT-based CAD Flow for the Physical Synthesis of eASICs
Authors:
Zain UlAbideen,
Tiago Diadami Perez,
Mayler Martins,
Samuel Pagliarini
Abstract:
Numerous threats are associated with the globalized integrated circuit (IC) supply chain, such as piracy, reverse engineering, overproduction, and malicious logic insertion. Many obfuscation approaches have been proposed to mitigate these threats by preventing an adversary from fully understanding the IC (or parts of it). The use of reconfigurable elements inside an IC is a known obfuscation techn…
▽ More
Numerous threats are associated with the globalized integrated circuit (IC) supply chain, such as piracy, reverse engineering, overproduction, and malicious logic insertion. Many obfuscation approaches have been proposed to mitigate these threats by preventing an adversary from fully understanding the IC (or parts of it). The use of reconfigurable elements inside an IC is a known obfuscation technique, either as a coarse grain reconfigurable block (i.e., eFPGA) or as a fine grain element (i.e., FPGA-like look-up tables). This paper presents a security-aware CAD flow that is LUT-based yet still compatible with the standard cell based physical synthesis flow. More precisely, our CAD flow explores the FPGA-ASIC design space and produces heavily obfuscated designs where only small portions of the logic resemble an ASIC. Therefore, we term this specialized solution an "embedded ASIC" (eASIC). Nevertheless, even for heavily LUT-dominated designs, our proposed decomposition and pin swap** algorithms allow for performance gains that enable performance levels that only ASICs would otherwise achieve. On the security side, we have developed novel template-based attacks and also applied existing attacks, both oracle-free and oracle-based. Our security analysis revealed that the obfuscation rate for an SHA-256 study case should be at least 45% for withstanding traditional attacks and at least 80% for withstanding template-based attacks. When the 80\% obfuscated SHA-256 design is physically implemented, it achieves a remarkable frequency of 368MHz in a 65nm commercial technology, whereas its FPGA implementation (in a superior technology) achieves only 77MHz.
△ Less
Submitted 12 July, 2022;
originally announced July 2022.
-
A class of Bernstein-type operators on the unit disk
Authors:
Marlon J. Recarte,
Misael E. Marriaga,
Teresa E. Pérez
Abstract:
We construct and study sequences of linear operators of Bernstein-type acting on bivariate functions defined on the unit disk. To this end, we study Bernstein-type operators under a domain transformation, we analyse the bivariate Bernstein-Stancu operators, and we introduce Bernstein-type operators on disk quadrants by means of continuously differentiable transformations of the function. We state…
▽ More
We construct and study sequences of linear operators of Bernstein-type acting on bivariate functions defined on the unit disk. To this end, we study Bernstein-type operators under a domain transformation, we analyse the bivariate Bernstein-Stancu operators, and we introduce Bernstein-type operators on disk quadrants by means of continuously differentiable transformations of the function. We state convergence results for continuous functions and we estimate the rate of convergence. Finally some interesting numerical examples are given, comparing approximations using the shifted Bernstein-Stancu and the Bernstein-type operator on disk quadrants.
△ Less
Submitted 7 March, 2022;
originally announced March 2022.
-
Quadratic decomposition of bivariate orthogonal polynomials
Authors:
Amílcar Branquinho,
Ana Foulquié Moreno,
Teresa E. Pérez
Abstract:
We describe bivariate polynomial sequences orthogonal to a symmetric weight function in terms of several bivariate polynomial sequences orthogonal with respect to Christoffel transformations of the initial weight under a quadratic transformation. We analyze the construction of a symmetric bivariate orthogonal polynomial sequence from a given one, orthogonal to a weight function defined on the posi…
▽ More
We describe bivariate polynomial sequences orthogonal to a symmetric weight function in terms of several bivariate polynomial sequences orthogonal with respect to Christoffel transformations of the initial weight under a quadratic transformation. We analyze the construction of a symmetric bivariate orthogonal polynomial sequence from a given one, orthogonal to a weight function defined on the positive plane. In this description plays an important role a sort of Backlund type matrix transformations for the involved three term matrix coefficients. We take as a case study relations between symmetric orthogonal polynomials defined on the ball and on the simplex.
△ Less
Submitted 19 February, 2022;
originally announced February 2022.
-
High intensity proton beam impact at 440 GeV/c on Mo and Cu coated CfC/graphite and SiC/SiC absorbers for beam intercepting devices
Authors:
Jorge Maestre,
Cristina Bahamonde,
Inigo Lamas Garcia,
Keith Kershaw,
Nicolo Biancacci,
Josep Busom,
Matthias Frankl,
Anton Lechner,
Adnan Kurtulus,
Shunsuke Makimura,
Naofumi Nakazato,
Ana Teresa Perez,
Antonio Perillo-Marcone,
Benoit Salvant,
Regis Seidenbinder,
Lorenzo Teofili,
Marco Calviani
Abstract:
Beam Intercepting Devices (BIDs) are essential protection elements for the operation of the Large Hadron Collider (LHC) complex. The LHC internal beam dump (LHC Target Dump Injection or LHC TDI) is the main protection BID of the LHC injection system; its main function is to protect LHC equipment in the event of a malfunction of the injection kicker magnets during beam transfer from the SPS to the…
▽ More
Beam Intercepting Devices (BIDs) are essential protection elements for the operation of the Large Hadron Collider (LHC) complex. The LHC internal beam dump (LHC Target Dump Injection or LHC TDI) is the main protection BID of the LHC injection system; its main function is to protect LHC equipment in the event of a malfunction of the injection kicker magnets during beam transfer from the SPS to the LHC. Several issues with the TDI were encountered during LHC operation, most of them due to outgassing from its core components induced by electron cloud effects, which led to limitations of the injector intensity and hence had an impact on LHC availability. The absorbing cores of the TDIs, and of beam intercepting devices in general, need to deal with high thermo-mechanical loads induced by the high intensity particle beams. In addition, devices such as the TDI - where the absorbing materials are installed close to the beam, are important contributors to the accelerator impedance budget. To reduce impedance, the absorbing materials that make up the core must be typically coated with high electrical conductivity metals. Beam impact testing of the coated absorbers is a crucial element of development work to ensure their correct operation. The behaviour of several metal-coated absorber materials was investigated when exposed to high intensity and high energy proton beams in the HiRadMat facility at CERN. Different coating configurations based on copper and molybdenum, and absorbing materials such as isostatic graphite, Carbon Fibre Composite (CfC) and Silicon Carbide reinforced with Silicon Carbide fibres (SiC-SiC), were tested in the facility to assess the TDI's performance and to extract information for other BIDs using these materials. In addition to beam impact tests and an extensive Post Irradiation Examination (PIE) campaign, extensive numerical simulations were carried out.
△ Less
Submitted 22 December, 2021; v1 submitted 9 December, 2021;
originally announced December 2021.
-
Hardware Trojan Insertion in Finalized Layouts: From Methodology to a Silicon Demonstration
Authors:
Tiago Perez,
Samuel Pagliarini
Abstract:
Owning a high-end semiconductor foundry is a luxury very few companies can afford. Thus, fabless design companies outsource integrated circuit fabrication to third parties. Within foundries, rogue elements may gain access to the customer's layout and perform malicious acts, including the insertion of a hardware trojan (HT). Many works focus on the structure/effects of a HT, while very few have dem…
▽ More
Owning a high-end semiconductor foundry is a luxury very few companies can afford. Thus, fabless design companies outsource integrated circuit fabrication to third parties. Within foundries, rogue elements may gain access to the customer's layout and perform malicious acts, including the insertion of a hardware trojan (HT). Many works focus on the structure/effects of a HT, while very few have demonstrated the viability of their HTs in silicon. Even fewer disclose how HTs are inserted or the time required for this activity. Our work details, for the first time, how effortlessly a HT can be inserted into a finalized layout by presenting an insertion framework based on the engineering change order flow. For validation, we have built an ASIC prototype in 65nm CMOS technology comprising of four trojaned cryptocores. A side-channel HT is inserted in each core with the intent of leaking the cryptokey over a power channel. Moreover, we have determined that the entire attack can be mounted in a little over one hour. We also show that the attack was successful for all tested samples. Finally, our measurements demonstrate the robustness of our SCT against skews in the manufacturing process.
△ Less
Submitted 30 August, 2022; v1 submitted 6 December, 2021;
originally announced December 2021.
-
G-GPU: A Fully-Automated Generator of GPU-like ASIC Accelerators
Authors:
Tiago Diadami Perez,
Márcio M. Gonçalves,
José Rodrigo Azambuja,
Leonardo Gobatto,
Marcelo Brandalero,
Samuel Pagliarini
Abstract:
Modern Systems on Chip (SoC), almost as a rule, require accelerators for achieving energy efficiency and high performance for specific tasks that are not necessarily well suited for execution in standard processing units. Considering the broad range of applications and necessity for specialization, the design of SoCs has thus become expressively more challenging. In this paper, we put forward the…
▽ More
Modern Systems on Chip (SoC), almost as a rule, require accelerators for achieving energy efficiency and high performance for specific tasks that are not necessarily well suited for execution in standard processing units. Considering the broad range of applications and necessity for specialization, the design of SoCs has thus become expressively more challenging. In this paper, we put forward the concept of G-GPU, a general-purpose GPU-like accelerator that is not application-specific but still gives benefits in energy efficiency and throughput. Furthermore, we have identified an existing gap for these accelerators in ASIC, for which no known automated generation platform/tool exists. Our solution, called GPUPlanner, is an open-source generator of accelerators, from RTL to GDSII, that addresses this gap. Our analysis results show that our automatically generated G-GPU designs are remarkably efficient when compared against the popular CPU architecture RISC-V, presenting speed-ups of up to 223 times in raw performance and up to 11 times when the metric is performance derated by area. These results are achieved by executing a design space exploration of the GPU-like accelerators, where the memory hierarchy is broken in a smart fashion and the logic is pipelined on demand. Finally, tapeout-ready layouts of the G-GPU in 65nm CMOS are presented.
△ Less
Submitted 6 December, 2021; v1 submitted 11 November, 2021;
originally announced November 2021.
-
From FPGAs to Obfuscated eASICs: Design and Security Trade-offs
Authors:
Zain Ul Abideen,
Tiago Diadami Perez,
Samuel Pagliarini
Abstract:
Threats associated with the untrusted fabrication of integrated circuits (ICs) are numerous: piracy, overproduction, reverse engineering, hardware trojans, etc. The use of reconfigurable elements (i.e., look-up tables as in FPGAs) is a known obfuscation technique. In the extreme case, when the circuit is entirely implemented as an FPGA, no information is revealed to the adversary but at a high cos…
▽ More
Threats associated with the untrusted fabrication of integrated circuits (ICs) are numerous: piracy, overproduction, reverse engineering, hardware trojans, etc. The use of reconfigurable elements (i.e., look-up tables as in FPGAs) is a known obfuscation technique. In the extreme case, when the circuit is entirely implemented as an FPGA, no information is revealed to the adversary but at a high cost in area, power, and performance. In the opposite extreme, when the same circuit is implemented as an ASIC, best-in-class performance is obtained but security is compromised. This paper investigates an intermediate solution between these two. Our results are supported by a custom CAD tool that explores this FPGA-ASIC design space and enables a standard-cell based physical synthesis flow that is flexible and compatible with current design practices. Layouts are presented for obfuscated circuits in a 65nm commercial technology, demonstrating the attained obfuscation both graphically and quantitatively. Furthermore, our security analysis revealed that for truly hiding the circuit's intent (not only portions of its structure), the obfuscated design also has to chiefly resemble an FPGA: only some small amount of logic can be made static for an adversary to remain unaware of what the circuit does.
△ Less
Submitted 13 October, 2021; v1 submitted 11 October, 2021;
originally announced October 2021.
-
Numerical analysis of electrohydrodynamic (EHD) instability in dielectric liquid-gas flows subjected to unipolar injection
Authors:
Qiang Liu,
Alberto T. Pérez,
R. Deepak Selvakumar,
Pengfei Yang,
Jian Wu
Abstract:
In this work, the electrohydrodynamic (EHD) instability induced by a unipolar charge injection is extended from a single-phase dielectric liquid to a two-phase system that consists of a liquid-air interface. A volume of fluid (VOF) model based two-phase solver was developed with simplified Maxwell equations implemented in the open-source platform OpenFOAM\textsuperscript. The numerically obtained…
▽ More
In this work, the electrohydrodynamic (EHD) instability induced by a unipolar charge injection is extended from a single-phase dielectric liquid to a two-phase system that consists of a liquid-air interface. A volume of fluid (VOF) model based two-phase solver was developed with simplified Maxwell equations implemented in the open-source platform OpenFOAM\textsuperscript. The numerically obtained critical value for the linear stability matches well with the theoretical values. To highlight the effect of the slip boundary at interface, the deformation of the interface is ignored. A bifurcation diagram with hysteresis loop linking the linear and finite amplitude criteria, which is Uf = 0.059, was obtained in this situation. It is concluded that the lack of viscous effect at interface leads to a significant increase in the flow intensity, which is the reason for the smaller instability threshold in two-phase system. The presence of interface also changes the flow structure and makes the flow vortices shift closer to the interface.
△ Less
Submitted 21 July, 2021;
originally announced July 2021.
-
Side-Channel Trojan Insertion -- a Practical Foundry-Side Attack via ECO
Authors:
Tiago Perez,
Malik Imran,
Pablo Vaz,
Samuel Pagliarini
Abstract:
Design companies often outsource their integrated circuit (IC) fabrication to third parties where ICs are susceptible to malicious acts such as the insertion of a side-channel hardware trojan horse (SCT). In this paper, we present a framework for designing and inserting an SCT based on an engineering change order (ECO) flow, which makes it the first to disclose how effortlessly a trojan can be ins…
▽ More
Design companies often outsource their integrated circuit (IC) fabrication to third parties where ICs are susceptible to malicious acts such as the insertion of a side-channel hardware trojan horse (SCT). In this paper, we present a framework for designing and inserting an SCT based on an engineering change order (ECO) flow, which makes it the first to disclose how effortlessly a trojan can be inserted into an IC. The trojan is designed with the goal of leaking multiple bits per power signature reading. Our findings and results show that a rogue element within a foundry has, today, all means necessary for performing a foundry-side attack via ECO.
△ Less
Submitted 29 March, 2021; v1 submitted 1 February, 2021;
originally announced February 2021.
-
A Heteroscedastic Likelihood Model for Two-frame Optical Flow
Authors:
Timothy Farnworth,
Christopher Renton,
Reuben Strydom,
Adrian Wills,
Tristan Perez
Abstract:
Machine vision is an important sensing technology used in mobile robotic systems. Advancing the autonomy of such systems requires accurate characterisation of sensor uncertainty. Vision includes intrinsic uncertainty due to the camera sensor and extrinsic uncertainty due to environmental lighting and texture, which propagate through the image processing algorithms used to produce visual measuremen…
▽ More
Machine vision is an important sensing technology used in mobile robotic systems. Advancing the autonomy of such systems requires accurate characterisation of sensor uncertainty. Vision includes intrinsic uncertainty due to the camera sensor and extrinsic uncertainty due to environmental lighting and texture, which propagate through the image processing algorithms used to produce visual measurements. To faithfully characterise visual measurements, we must take into account these uncertainties.
In this paper, we propose a new class of likelihood functions that characterises the uncertainty of the error distribution of two-frame optical flow that enables a heteroscedastic dependence on texture. We employ the proposed class to characterise the Farneback and Lucas Kanade optical flow algorithms and achieve close agreement with their respective empirical error distributions over a wide range of texture in a simulated environment. The utility of the proposed likelihood model is demonstrated in a visual odometry ego-motion study, which results in performance competitive with contemporary methods. The development of an empirically congruent likelihood model advances the requisite tool-set for vision-based Bayesian inference and enables sensor data fusion with GPS, LiDAR and IMU to advance robust autonomous navigation.
△ Less
Submitted 31 December, 2020; v1 submitted 14 October, 2020;
originally announced October 2020.
-
A Survey on Split Manufacturing: Attacks, Defenses, and Challenges
Authors:
Tiago D. Perez,
Samuel Pagliarini
Abstract:
In today's integrated circuit (IC) ecosystem, owning a foundry is not economically viable, and therefore most IC design houses are now working under a fabless business model. In order to overcome security concerns associated with the outsorcing of IC fabrication, the Split Manufacturing technique was proposed. In Split Manufacturing, the Front End of Line (FEOL) layers (transistors and lower metal…
▽ More
In today's integrated circuit (IC) ecosystem, owning a foundry is not economically viable, and therefore most IC design houses are now working under a fabless business model. In order to overcome security concerns associated with the outsorcing of IC fabrication, the Split Manufacturing technique was proposed. In Split Manufacturing, the Front End of Line (FEOL) layers (transistors and lower metal layers) are fabricated at an untrusted high-end foundry, while the Back End of Line (BEOL) layers (higher metal layers) are manufactured at a trusted low-end foundry. This approach hides the BEOL connections from the untrusted foundry, thus preventing overproduction and piracy threats. However, many works demonstrate that BEOL connections can be derived by exploiting layout characteristics that are introduced by heuristics employed in typical floorplanning, placement, and routing algorithms. Since straightforward Split Manufacturing may not afford a desirable security level, many authors propose defense techniques to be used along with Split Manufacturing. In our survey, we present a detailed overview of the technique, the many types of attacks towards Split Manufacturing, as well as possible defense techniques described in the literature. For the attacks, we present a concise discussion on the different threat models and assumptions, while for the defenses we classify the studies into three categories: proximity perturbation, wire lifting, and layout obfuscation. The main outcome of our survey is to highlight the discrepancy between many studies -- some claim netlists can be reconstructed with near perfect precision, while others claim marginal success in retrieving BEOL connections. Finally, we also discuss future trends and challenges inherent to Split Manufacturing, including the fundamental difficulty of evaluating the efficiency of the technique.
△ Less
Submitted 12 October, 2020; v1 submitted 8 June, 2020;
originally announced June 2020.
-
Online Inverse Optimal Control for Control-Constrained Discrete-Time Systems on Finite and Infinite Horizons
Authors:
Timothy L. Molloy,
Jason J. Ford,
Tristan Perez
Abstract:
In this paper, we consider the problem of computing parameters of an objective function for a discrete-time optimal control problem from state and control trajectories with active control constraints. We propose a novel method of inverse optimal control that has a computationally efficient online form in which pairs of states and controls from given state and control trajectories are processed seq…
▽ More
In this paper, we consider the problem of computing parameters of an objective function for a discrete-time optimal control problem from state and control trajectories with active control constraints. We propose a novel method of inverse optimal control that has a computationally efficient online form in which pairs of states and controls from given state and control trajectories are processed sequentially without being stored or processed in batches. We establish conditions guaranteeing the uniqueness of the objective-function parameters computed by our proposed method from trajectories with active control constraints. We illustrate our proposed method in simulation.
△ Less
Submitted 13 May, 2020;
originally announced May 2020.
-
An Optimal Bearing-Only-Information Strategy for Unmanned Aircraft Collision Avoidance
Authors:
Timothy L. Molloy,
Tristan Perez,
Brendan P. Williams
Abstract:
This paper presents a novel collision avoidance strategy for unmanned aircraft detect and avoid that requires only information about the relative bearing angle between an aircraft and hazard. It is shown that this bearing-only strategy can be conceived as the solution to a novel differential game formulation of collision avoidance, and has several intuitive properties including maximising the inst…
▽ More
This paper presents a novel collision avoidance strategy for unmanned aircraft detect and avoid that requires only information about the relative bearing angle between an aircraft and hazard. It is shown that this bearing-only strategy can be conceived as the solution to a novel differential game formulation of collision avoidance, and has several intuitive properties including maximising the instantaneous range acceleration in situations where the hazard is stationary or has a finite turn rate. The performance of the bearing-only strategy is illustrated in simulations based on test cases drawn from draft minimum operating performance standards for unmanned aircraft detect and avoid systems.
△ Less
Submitted 21 April, 2020;
originally announced April 2020.
-
Faraday waves under perpendicular electric field
Authors:
Raúl Fernández-Mateo,
Alberto T. Pérez
Abstract:
The dispersion relation of vertically oscillating fluid surfaces has been a subject extensively studied in the past, as well as surface instabilities produced by electrohydrodynamic (EHD) waves in similar configurations. In the present work it is studied the unification of both effects and its consequences to the instability of the surface. Given the versatility of the electromagnetic fields, a po…
▽ More
The dispersion relation of vertically oscillating fluid surfaces has been a subject extensively studied in the past, as well as surface instabilities produced by electrohydrodynamic (EHD) waves in similar configurations. In the present work it is studied the unification of both effects and its consequences to the instability of the surface. Given the versatility of the electromagnetic fields, a possible application to the phenomenon of walking droplets is suggested.
△ Less
Submitted 13 February, 2020;
originally announced February 2020.
-
Additional material to "Levitation? Yes, it is possible!"
Authors:
Alberto T. Pérez,
Pablo García-Sánchez,
Miguel A. S. Quintanilla,
Armando Fernández-Prieto
Abstract:
This document contains supplementary material for the article with the same title that appeared in American Journal of Physics in 2019
This document contains supplementary material for the article with the same title that appeared in American Journal of Physics in 2019
△ Less
Submitted 28 January, 2019;
originally announced January 2019.
-
A Sweet Pepper Harvesting Robot for Protected Crop** Environments
Authors:
Chris Lehnert,
Chris McCool,
Inkyu Sa,
Tristan Perez
Abstract:
Using robots to harvest sweet peppers in protected crop** environments has remained unsolved despite considerable effort by the research community over several decades. In this paper, we present the robotic harvester, Harvey, designed for sweet peppers in protected crop** environments that achieved a 76.5% success rate (within a modified scenario) which improves upon our prior work which achie…
▽ More
Using robots to harvest sweet peppers in protected crop** environments has remained unsolved despite considerable effort by the research community over several decades. In this paper, we present the robotic harvester, Harvey, designed for sweet peppers in protected crop** environments that achieved a 76.5% success rate (within a modified scenario) which improves upon our prior work which achieved 58% and related sweet pepper harvesting work which achieved 33\%. This improvement was primarily achieved through the introduction of a novel peduncle segmentation system using an efficient deep convolutional neural network, in conjunction with 3D post-filtering to detect the critical cutting location. We benchmark the peduncle segmentation against prior art demonstrating a considerable improvement in performance with an F_1 score of 0.564 compared to 0.302. The robotic harvester uses a perception pipeline to detect a target sweet pepper and an appropriate grasp and cutting pose used to determine the trajectory of a multi-modal harvesting tool to grasp the sweet pepper and cut it from the plant. A novel decoupling mechanism enables the grip** and cutting operations to be performed independently. We perform an in-depth analysis of the full robotic harvesting system to highlight bottlenecks and failure points that future work could address.
△ Less
Submitted 28 October, 2018;
originally announced October 2018.
-
Tracking Control of Marine Craft in the port-Hamiltonian Framework: A Virtual Differential Passivity Approach
Authors:
Rodolfo Reyes-Báez,
Alejandro Donaire,
Arjan van der Schaft,
Bayu Jayawardhana,
Tristan Perez
Abstract:
In this work we propose a family of trajectory tracking controllers for marine craft in the port-Hamiltonian (pH) framework using virtual differential passivity based control (v-dPBC). Two pH models of marine craft are considered, one in a body frame and another in an inertial frame. The structure and workless forces of pH models are exploited to design two virtual control systems which are relate…
▽ More
In this work we propose a family of trajectory tracking controllers for marine craft in the port-Hamiltonian (pH) framework using virtual differential passivity based control (v-dPBC). Two pH models of marine craft are considered, one in a body frame and another in an inertial frame. The structure and workless forces of pH models are exploited to design two virtual control systems which are related to the original marine craft's pH models. These virtual systems are rendered differentially passive with an imposed steady-state trajectory, both by means of a control scheme. Finally, the original marine craft pH models in closed-loop with above controllers solve the trajectory tracking problem. The performance of the closedloop system is evaluated on numerical simulations.
△ Less
Submitted 21 March, 2018;
originally announced March 2018.
-
Methods for separation of deuterons produced in the medium and in jets in high energy collisions
Authors:
Natasha Sharma,
Tony Perez,
Andy Castro,
Lokesh Kumar,
Christine Nattrass
Abstract:
Coalescence has long been used to describe the production of light (anti-)nuclei in heavy ion collisions. The same underlying mechanism may also exist in jets when a proton and a neutron are close enough in phase space to form a deuteron. We model deuteron production in jets by applying an afterburner to protons and neutrons produced in PYTHIA for $p$+$p$ collisions at a center of mass energy…
▽ More
Coalescence has long been used to describe the production of light (anti-)nuclei in heavy ion collisions. The same underlying mechanism may also exist in jets when a proton and a neutron are close enough in phase space to form a deuteron. We model deuteron production in jets by applying an afterburner to protons and neutrons produced in PYTHIA for $p$+$p$ collisions at a center of mass energy $\sqrt{s} =$ 7 TeV. PYTHIA provides a reasonable description of the proton spectra and the shape of the deuteron spectrum predicted by the afterburner is in agreement with the data. We show that the rise in the coalescence parameter $B_2$ with momentum observed in data is consistent with coalescence in jets. We show that di-hadron correlations can be used to separate the contributions from the jet and the underlying event. This model predicts that the conditional coalescence parameter in the jet-like correlation should be independent of the trigger momentum.
△ Less
Submitted 6 March, 2018;
originally announced March 2018.
-
A Rapidly Deployable Classification System using Visual Data for the Application of Precision Weed Management
Authors:
David Hall,
Feras Dayoub,
Tristan Perez,
Chris McCool
Abstract:
In this work we demonstrate a rapidly deployable weed classification system that uses visual data to enable autonomous precision weeding without making prior assumptions about which weed species are present in a given field. Previous work in this area relies on having prior knowledge of the weed species present in the field. This assumption cannot always hold true for every field, and thus limits…
▽ More
In this work we demonstrate a rapidly deployable weed classification system that uses visual data to enable autonomous precision weeding without making prior assumptions about which weed species are present in a given field. Previous work in this area relies on having prior knowledge of the weed species present in the field. This assumption cannot always hold true for every field, and thus limits the use of weed classification systems based on this assumption. In this work, we obviate this assumption and introduce a rapidly deployable approach able to operate on any field without any weed species assumptions prior to deployment. We present a three stage pipeline for the implementation of our weed classification system consisting of initial field surveillance, offline processing and selective labelling, and automated precision weeding. The key characteristic of our approach is the combination of plant clustering and selective labelling which is what enables our system to operate without prior weed species knowledge. Testing using field data we are able to label 12.3 times fewer images than traditional full labelling whilst reducing classification accuracy by only 14%.
△ Less
Submitted 26 April, 2018; v1 submitted 25 January, 2018;
originally announced January 2018.
-
Fruit Quantity and Quality Estimation using a Robotic Vision System
Authors:
M. Halstead,
C. McCool,
S. Denman,
T. Perez,
C. Fookes
Abstract:
Accurate localisation of crop remains highly challenging in unstructured environments such as farms. Many of the developed systems still rely on the use of hand selected features for crop identification and often neglect the estimation of crop quantity and quality, which is key to assigning labor during farming processes. To alleviate these limitations we present a robotic vision system that can a…
▽ More
Accurate localisation of crop remains highly challenging in unstructured environments such as farms. Many of the developed systems still rely on the use of hand selected features for crop identification and often neglect the estimation of crop quantity and quality, which is key to assigning labor during farming processes. To alleviate these limitations we present a robotic vision system that can accurately estimate the quantity and quality of sweet pepper (Capsicum annuum L), a key horticultural crop. This system consists of three parts: detection, quality estimation, and tracking. Efficient detection is achieved using the FasterRCNN framework. Quality is then estimated in the same framework by learning a parallel layer which we show experimentally results in superior performance than treating quality as extra classes in the traditional Faster-RCNN framework. Evaluation of these two techniques outlines the improved performance of the parallel layer, where we achieve an F1 score of 77.3 for the parallel technique yet only 72.5 for the best scoring (red) of the multi-class implementation. To track the crop we present a tracking via detection approach, which uses the FasterRCNN with parallel layers, that is also a vision-only solution. This approach is cheap to implement as it only requires a camera and in experiments across 2 days we show that our proposed system can accurately estimate the number of sweet pepper present, within 4.1% of the ground truth.
△ Less
Submitted 17 January, 2018;
originally announced January 2018.
-
In-Field Peduncle Detection of Sweet Peppers for Robotic Harvesting: a comparative study
Authors:
Chris Lehnert,
Chris McCool,
Tristan Perez
Abstract:
Robotic harvesting of crops has the potential to disrupt current agricultural practices. A key element to enabling robotic harvesting is to safely remove the crop from the plant which often involves locating and cutting the peduncle, the part of the crop that attaches it to the main stem of the plant.
In this paper we present a comparative study of two methods for performing peduncle detection.…
▽ More
Robotic harvesting of crops has the potential to disrupt current agricultural practices. A key element to enabling robotic harvesting is to safely remove the crop from the plant which often involves locating and cutting the peduncle, the part of the crop that attaches it to the main stem of the plant.
In this paper we present a comparative study of two methods for performing peduncle detection. The first method is based on classic colour and geometric features obtained from the scene with a support vector machine classifier, referred to as PFH-SVM. The second method is an efficient deep neural network approach, MiniInception, that is able to be deployed on a robotic platform. In both cases we employ a secondary filtering process that enforces reasonable assumptions about the crop structure, such as the proximity of the peduncle to the crop. Our tests are conducted on Harvey, a sweet pepper harvesting robot, and is evaluated in a greenhouse using two varieties of sweet pepper, Ducati and Mercuno. We demonstrate that the MiniInception method achieves impressive accuracy and considerably outperforms the PFH-SVM approach achieving an F1 score of 0.564 and 0.302 respectively.
△ Less
Submitted 29 September, 2017;
originally announced September 2017.
-
Lessons Learnt from Field Trials of a Robotic Sweet Pepper Harvester
Authors:
Christopher Lehnert,
Christopher McCool,
Tristan Perez
Abstract:
In this paper, we present the lessons learnt during the development of a new robotic harvester (Harvey) that can autonomously harvest sweet pepper (capsicum) in protected crop** environments. Robotic harvesting offers an attractive potential solution to reducing labour costs while enabling more regular and selective harvesting, optimising crop quality, scheduling and therefore profit. Our approa…
▽ More
In this paper, we present the lessons learnt during the development of a new robotic harvester (Harvey) that can autonomously harvest sweet pepper (capsicum) in protected crop** environments. Robotic harvesting offers an attractive potential solution to reducing labour costs while enabling more regular and selective harvesting, optimising crop quality, scheduling and therefore profit. Our approach combines effective vision algorithms with a novel end-effector design to enable successful harvesting of sweet peppers. We demonstrate a simple and effective vision-based algorithm for crop detection, a grasp selection method, and a novel end-effector design for harvesting. To reduce the complexity of motion planning and to minimise occlusions we focus on picking sweet peppers in a protected crop** environment where plants are grown on planar trellis structures. Initial field trials in protected crop** environments, with two cultivars, demonstrate the efficacy of this approach. The results show that the robot harvester can successfully detect, grasp, and detach crop from the plant within a real protected crop** system. The novel contributions of this work have resulted in significant and encouraging improvements in sweet pepper picking success rates compared with the state-of-the-art. Future work will look at detecting sweet pepper peduncles and improving the total harvesting cycle time for each sweet pepper. The methods presented in this paper provide steps towards the goal of fully autonomous and reliable crop picking systems that will revolutionise the horticulture industry by reducing labour costs, maximising the quality of produce, and ultimately improving the sustainability of farming enterprises.
△ Less
Submitted 19 June, 2017;
originally announced June 2017.
-
Autonomous Sweet Pepper Harvesting for Protected Crop** Systems
Authors:
Chris Lehnert,
Andrew English,
Chris McCool,
Adam Tow,
Tristan Perez
Abstract:
In this letter, we present a new robotic harvester (Harvey) that can autonomously harvest sweet pepper in protected crop** environments. Our approach combines effective vision algorithms with a novel end-effector design to enable successful harvesting of sweet peppers. Initial field trials in protected crop** environments, with two cultivar, demonstrate the efficacy of this approach achieving…
▽ More
In this letter, we present a new robotic harvester (Harvey) that can autonomously harvest sweet pepper in protected crop** environments. Our approach combines effective vision algorithms with a novel end-effector design to enable successful harvesting of sweet peppers. Initial field trials in protected crop** environments, with two cultivar, demonstrate the efficacy of this approach achieving a 46% success rate for unmodified crop, and 58% for modified crop. Furthermore, for the more favourable cultivar we were also able to detach 90% of sweet peppers, indicating that improvements in the gras** success rate would result in greatly improved harvesting performance.
△ Less
Submitted 6 June, 2017;
originally announced June 2017.
-
Analysing Human Mobility Patterns of Hiking Activities through Complex Network Theory
Authors:
Isaac Lera,
Toni Pérez,
Carlos Guerrero,
Víctor Eguíluz,
Carlos Juiz
Abstract:
The exploitation of high volume of geolocalized data from social sport tracking applications of outdoor activities can be useful for natural resource planning and to understand the human mobility patterns during leisure activities. This geolocalized data represents the selection of hike activities according to subjective and objective factors such as personal goals, personal abilities, trail condi…
▽ More
The exploitation of high volume of geolocalized data from social sport tracking applications of outdoor activities can be useful for natural resource planning and to understand the human mobility patterns during leisure activities. This geolocalized data represents the selection of hike activities according to subjective and objective factors such as personal goals, personal abilities, trail conditions or weather conditions. In our approach, human mobility patterns are analysed from trajectories which are generated by hikers. We propose the generation of the trail network identifying special points in the overlap of trajectories. Trail crossings and trailheads define our network and shape topological features. We analyse the trail network of Balearic Islands, as a case of study, using complex weighted network theory. The analysis is divided into the four seasons of the year to observe the impact of weather conditions on the network topology. The number of visited places does not decrease despite the large difference in the number of samples of the two seasons with larger and lower activity. It is in summer season where it is produced the most significant variation in the frequency and localization of activities from inland regions to coastal areas. Finally, we compare our model with other related studies where the network possesses a different purpose. One finding of our approach is the detection of regions with relevant importance where landscape interventions can be applied in function of the communities.
△ Less
Submitted 12 May, 2017;
originally announced May 2017.
-
Generalization of the concepts of seniority number and ionicity
Authors:
Thomas Perez,
Patrick Cassam-Chenaï
Abstract:
We present generalized versions of the concepts of seniority number and ionicity. These generalized numbers count respectively the partially occupied and fully occupied shells for any partition of the orbital space into shells. The Hermitian operators whose eigenspaces correspond to wave functions of definite generalized seniority or ionicity values are introduced. The generalized seniority numbe…
▽ More
We present generalized versions of the concepts of seniority number and ionicity. These generalized numbers count respectively the partially occupied and fully occupied shells for any partition of the orbital space into shells. The Hermitian operators whose eigenspaces correspond to wave functions of definite generalized seniority or ionicity values are introduced. The generalized seniority numbers (GSNs) afford to establish refined hierarchies of configuration interaction (CI) spaces within those of fixed ordinary seniority. Such a hierarchy is illustrated on the buckminsterfullerene molecule.
△ Less
Submitted 19 September, 2017; v1 submitted 21 March, 2017;
originally announced March 2017.
-
Peduncle Detection of Sweet Pepper for Autonomous Crop Harvesting - Combined Colour and 3D Information
Authors:
Inkyu Sa,
Chris Lehnert,
Andrew English,
Chris McCool,
Feras Dayoub,
Ben Upcroft,
Tristan Perez
Abstract:
This paper presents a 3D visual detection method for the challenging task of detecting peduncles of sweet peppers (Capsicum annuum) in the field. Cutting the peduncle cleanly is one of the most difficult stages of the harvesting process, where the peduncle is the part of the crop that attaches it to the main stem of the plant. Accurate peduncle detection in 3D space is therefore a vital step in re…
▽ More
This paper presents a 3D visual detection method for the challenging task of detecting peduncles of sweet peppers (Capsicum annuum) in the field. Cutting the peduncle cleanly is one of the most difficult stages of the harvesting process, where the peduncle is the part of the crop that attaches it to the main stem of the plant. Accurate peduncle detection in 3D space is therefore a vital step in reliable autonomous harvesting of sweet peppers, as this can lead to precise cutting while avoiding damage to the surrounding plant. This paper makes use of both colour and geometry information acquired from an RGB-D sensor and utilises a supervised-learning approach for the peduncle detection task. The performance of the proposed method is demonstrated and evaluated using qualitative and quantitative results (the Area-Under-the-Curve (AUC) of the detection precision-recall curve). We are able to achieve an AUC of 0.71 for peduncle detection on field-grown sweet peppers. We release a set of manually annotated 3D sweet pepper and peduncle images to assist the research community in performing further research on this topic.
△ Less
Submitted 30 January, 2017;
originally announced January 2017.
-
Collective intelligence: aggregation of information from neighbors in a guessing game
Authors:
Toni Pérez,
Jordi Zamora,
Víctor M. Eguíluz
Abstract:
Complex systems show the capacity to aggregate information and to display coordinated activity. In the case of social systems the interaction of different individuals leads to the emergence of norms, trends in political positions, opinions, cultural traits, and even scientific progress. Examples of collective behavior can be observed in activities like the Wikipedia and Linux, where individuals ag…
▽ More
Complex systems show the capacity to aggregate information and to display coordinated activity. In the case of social systems the interaction of different individuals leads to the emergence of norms, trends in political positions, opinions, cultural traits, and even scientific progress. Examples of collective behavior can be observed in activities like the Wikipedia and Linux, where individuals aggregate their knowledge for the benefit of the community, and citizen science, where the potential of collectives to solve complex problems is exploited. Here, we conducted an online experiment to investigate the performance of a collective when solving a guessing problem in which each actor is endowed with partial information and placed as the nodes of an interaction network. We measure the performance of the collective in terms of the temporal evolution of the accuracy, finding no statistical difference in the performance for two classes of networks, regular lattices and random networks. We also determine that a Bayesian description captures the behavior pattern the individuals follow in aggregating information from neighbors to make decisions. In comparison with other simple decision models, the strategy followed by the players reveals a suboptimal performance of the collective. Our contribution provides the basis for the micro-macro connection between individual based descriptions and collective phenomena.
△ Less
Submitted 29 March, 2016;
originally announced April 2016.
-
Three term relations for a class of bivariate orthogonal polynomials
Authors:
Misael Marriaga,
Teresa E. Pérez,
Miguel A. Piñar
Abstract:
We study matrix three term relations for orthogonal polynomials in two variables constructed from orthogonal polynomials in one variable. Using the three term recurrence relation for the involved univariate orthogonal polynomials, the explicit expression for the matrix coefficients in these three term relations are deduced. These matrices are diagonal or tridiagonal with entries computable from th…
▽ More
We study matrix three term relations for orthogonal polynomials in two variables constructed from orthogonal polynomials in one variable. Using the three term recurrence relation for the involved univariate orthogonal polynomials, the explicit expression for the matrix coefficients in these three term relations are deduced. These matrices are diagonal or tridiagonal with entries computable from the one variable coefficients in the respective three term recurrence relation. Moreover, some interesting particular cases are considered.
△ Less
Submitted 23 March, 2016;
originally announced March 2016.
-
Multivariate Orthogonal Polynomials and Modified Moment Functionals
Authors:
Antonia M. Delgado,
Lidia Fernández,
Teresa E. Pérez,
Miguel A. Piñar
Abstract:
Multivariate orthogonal polynomials can be introduced by using a moment functional defined on the linear space of polynomials in several variables with real coefficients. We study the so-called Uvarov and Christoffel modifications obtained by adding to the moment functional a finite set of mass points, or by multiplying it times a polynomial of total degree 2, respectively. Orthogonal polynomials…
▽ More
Multivariate orthogonal polynomials can be introduced by using a moment functional defined on the linear space of polynomials in several variables with real coefficients. We study the so-called Uvarov and Christoffel modifications obtained by adding to the moment functional a finite set of mass points, or by multiplying it times a polynomial of total degree 2, respectively. Orthogonal polynomials associated with modified moment functionals will be studied, as well as the impact of the modification in useful properties of the orthogonal polynomials. Finally, some illustrative examples will be given.
△ Less
Submitted 10 September, 2016; v1 submitted 26 January, 2016;
originally announced January 2016.
-
Sobolev orthogonal polynomials on the unit ball via outward normal derivatives
Authors:
Antonia M. Delgado,
Lidia Fernández,
Doron Lubinsky,
Teresa E. Pérez,
Miguel A. Piñar
Abstract:
We analyse a family of mutually orthogonal polynomials on the unit ball with respect to an inner product which involves the outward normal derivatives on the sphere. Using their representation in terms of spherical harmonics, algebraic and analytic properties will be deduced. First, we deduce explicit connection formulas relating classical multivariate ball polynomials and our family of Sobolev or…
▽ More
We analyse a family of mutually orthogonal polynomials on the unit ball with respect to an inner product which involves the outward normal derivatives on the sphere. Using their representation in terms of spherical harmonics, algebraic and analytic properties will be deduced. First, we deduce explicit connection formulas relating classical multivariate ball polynomials and our family of Sobolev orthogonal polynomials. Then explicit representations for the norms and the kernels will be obtained. Finally, the asymptotic behaviour of the corresponding Christoffel functions is studied.
△ Less
Submitted 3 December, 2015;
originally announced December 2015.