Skip to main content

Showing 1–4 of 4 results for author: Pathania, A

.
  1. arXiv:2308.12026  [pdf, other

    astro-ph.HE

    Prospects of detecting gamma-ray signal of dark matter interaction with the MACE telescope

    Authors: M. Khurana, A. Pathania, K. K. Singh, C. Borwankar, P. K. Netrakanti, K. K. Yadav

    Abstract: The MACE (Major Atmospheric Cherenkov Experiment) telescope has started its regular gamma-ray observations at Hanle in India. Located at an altitude of $\sim$ 4.3 km above sea level and equipped with a 21 m diameter large quasi-parabolic reflector, it has the capability to explore the gamma-ray sky in the energy range above 20 GeV with very high sensitivity. In this work, we present the results fr… ▽ More

    Submitted 23 August, 2023; originally announced August 2023.

    Comments: 8 Pages, 4 Figures, To appear in Proceedings of Science (ICRC 2023)

  2. arXiv:2109.12405  [pdf, other

    cs.AR

    CoMeT: An Integrated Interval Thermal Simulation Toolchain for 2D, 2.5D, and 3D Processor-Memory Systems

    Authors: Lokesh Siddhu, Rajesh Kedia, Shailja Pandey, Martin Rapp, Anuj Pathania, Jörg Henkel, Preeti Ranjan Panda

    Abstract: Processing cores and the accompanying main memory working in tandem enable the modern processors. Dissipating heat produced from computation, memory access remains a significant problem for processors. Therefore, processor thermal management continues to be an active research topic. Most thermal management research takes place using simulations, given the challenges of measuring temperature in rea… ▽ More

    Submitted 16 March, 2022; v1 submitted 25 September, 2021; originally announced September 2021.

    Comments: https://github.com/marg-tools/CoMeT

  3. arXiv:1908.11450  [pdf, other

    cs.LG cs.DC stat.ML

    Neural Network Inference on Mobile SoCs

    Authors: Siqi Wang, Anuj Pathania, Tulika Mitra

    Abstract: The ever-increasing demand from mobile Machine Learning (ML) applications calls for evermore powerful on-chip computing resources. Mobile devices are empowered with heterogeneous multi-processor Systems-on-Chips (SoCs) to process ML workloads such as Convolutional Neural Network (CNN) inference. Mobile SoCs house several different types of ML capable components on-die, such as CPU, GPU, and accele… ▽ More

    Submitted 22 January, 2020; v1 submitted 24 August, 2019; originally announced August 2019.

    Comments: Accepted to IEEE Design & Test

    Journal ref: in IEEE Design & Test, vol. 37, no. 5, pp. 50-57, Oct. 2020

  4. High-Throughput CNN Inference on Embedded ARM big.LITTLE Multi-Core Processors

    Authors: Siqi Wang, Gayathri Ananthanarayanan, Yifan Zeng, Neeraj Goel, Anuj Pathania, Tulika Mitra

    Abstract: IoT Edge intelligence requires Convolutional Neural Network (CNN) inference to take place in the edge devices itself. ARM big.LITTLE architecture is at the heart of prevalent commercial edge devices. It comprises of single-ISA heterogeneous cores grouped into multiple homogeneous clusters that enable power and performance trade-offs. All cores are expected to be simultaneously employed in inferenc… ▽ More

    Submitted 22 January, 2020; v1 submitted 14 March, 2019; originally announced March 2019.

    Comments: Accepted to IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems

    Journal ref: in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 39, no. 10, pp. 2254-2267, Oct. 2020