-
Multi-objective generative AI for designing novel brain-targeting small molecules
Authors:
Ayush Noori,
Iñaki Arango,
William E. Byrd,
Nada Amin
Abstract:
The strict selectivity of the blood-brain barrier (BBB) represents one of the most formidable challenges to successful central nervous system (CNS) drug delivery. Computational methods to generate BBB permeable drugs in silico may be valuable tools in the CNS drug design pipeline. However, in real-world applications, BBB penetration alone is insufficient; rather, after transiting the BBB, molecule…
▽ More
The strict selectivity of the blood-brain barrier (BBB) represents one of the most formidable challenges to successful central nervous system (CNS) drug delivery. Computational methods to generate BBB permeable drugs in silico may be valuable tools in the CNS drug design pipeline. However, in real-world applications, BBB penetration alone is insufficient; rather, after transiting the BBB, molecules must bind to a specific target or receptor in the brain and must also be safe and non-toxic. To discover small molecules that concurrently satisfy these constraints, we use multi-objective generative AI to synthesize drug-like BBB-permeable small molecules. Specifically, we computationally synthesize molecules with predicted binding affinity against dopamine receptor D2, the primary target for many clinically effective antipsychotic drugs. After training several graph neural network-based property predictors, we adapt SyntheMol (Swanson et al., 2024), a recently developed Monte Carlo Tree Search-based algorithm for antibiotic design, to perform a multi-objective guided traversal over an easily synthesizable molecular space. We design a library of 26,581 novel and diverse small molecules containing hits with high predicted BBB permeability and favorable predicted safety and toxicity profiles, and that could readily be synthesized for experimental validation in the wet lab. We also validate top scoring molecules with molecular docking simulation against the D2 receptor and demonstrate predicted binding affinity on par with risperidone, a clinically prescribed D2-targeting antipsychotic. In the future, the SyntheMol-based computational approach described here may enable the discovery of novel neurotherapeutics for currently intractable disorders of the CNS.
△ Less
Submitted 16 April, 2024;
originally announced July 2024.
-
Constable: Improving Performance and Power Efficiency by Safely Eliminating Load Instruction Execution
Authors:
Rahul Bera,
Adithya Ranganathan,
Joydeep Rakshit,
Sujit Mahto,
Anant V. Nori,
Jayesh Gaur,
Ataberk Olgun,
Konstantinos Kanellopoulos,
Mohammad Sadrosadati,
Sreenivas Subramoney,
Onur Mutlu
Abstract:
Load instructions often limit instruction-level parallelism (ILP) in modern processors due to data and resource dependences they cause. Prior techniques like Load Value Prediction (LVP) and Memory Renaming (MRN) mitigate load data dependence by predicting the data value of a load instruction. However, they fail to mitigate load resource dependence as the predicted load instruction gets executed no…
▽ More
Load instructions often limit instruction-level parallelism (ILP) in modern processors due to data and resource dependences they cause. Prior techniques like Load Value Prediction (LVP) and Memory Renaming (MRN) mitigate load data dependence by predicting the data value of a load instruction. However, they fail to mitigate load resource dependence as the predicted load instruction gets executed nonetheless.
Our goal in this work is to improve ILP by mitigating both load data dependence and resource dependence. To this end, we propose a purely-microarchitectural technique called Constable, that safely eliminates the execution of load instructions. Constable dynamically identifies load instructions that have repeatedly fetched the same data from the same load address. We call such loads likely-stable. For every likely-stable load, Constable (1) tracks modifications to its source architectural registers and memory location via lightweight hardware structures, and (2) eliminates the execution of subsequent instances of the load instruction until there is a write to its source register or a store or snoop request to its load address.
Our extensive evaluation using a wide variety of 90 workloads shows that Constable improves performance by 5.1% while reducing the core dynamic power consumption by 3.4% on average over a strong baseline system that implements MRN and other dynamic instruction optimizations (e.g., move and zero elimination, constant and branch folding). In presence of 2-way simultaneous multithreading (SMT), Constable's performance improvement increases to 8.8% over the baseline system. When combined with a state-of-the-art load value predictor (EVES), Constable provides an additional 3.7% and 7.8% average performance benefit over the load value predictor alone, in the baseline system without and with 2-way SMT, respectively.
△ Less
Submitted 26 June, 2024;
originally announced June 2024.
-
Challenges for Responsible AI Design and Workflow Integration in Healthcare: A Case Study of Automatic Feeding Tube Qualification in Radiology
Authors:
Anja Thieme,
Abhijith Rajamohan,
Benjamin Cooper,
Heather Groombridge,
Robert Simister,
Barney Wong,
Nicholas Woznitza,
Mark Ames Pinnock,
Maria Teodora Wetscherek,
Cecily Morrison,
Hannah Richardson,
Fernando Pérez-García,
Stephanie L. Hyland,
Shruthi Bannur,
Daniel C. Castro,
Kenza Bouzid,
Anton Schwaighofer,
Mercy Ranjit,
Harshita Sharma,
Matthew P. Lungren,
Ozan Oktay,
Javier Alvarez-Valle,
Aditya Nori,
Stephen Harris,
Joseph Jacob
Abstract:
Nasogastric tubes (NGTs) are feeding tubes that are inserted through the nose into the stomach to deliver nutrition or medication. If not placed correctly, they can cause serious harm, even death to patients. Recent AI developments demonstrate the feasibility of robustly detecting NGT placement from Chest X-ray images to reduce risks of sub-optimally or critically placed NGTs being missed or delay…
▽ More
Nasogastric tubes (NGTs) are feeding tubes that are inserted through the nose into the stomach to deliver nutrition or medication. If not placed correctly, they can cause serious harm, even death to patients. Recent AI developments demonstrate the feasibility of robustly detecting NGT placement from Chest X-ray images to reduce risks of sub-optimally or critically placed NGTs being missed or delayed in their detection, but gaps remain in clinical practice integration. In this study, we present a human-centered approach to the problem and describe insights derived following contextual inquiry and in-depth interviews with 15 clinical stakeholders. The interviews helped understand challenges in existing workflows, and how best to align technical capabilities with user needs and expectations. We discovered the trade-offs and complexities that need consideration when choosing suitable workflow stages, target users, and design configurations for different AI proposals. We explored how to balance AI benefits and risks for healthcare staff and patients within broader organizational and medical-legal constraints. We also identified data issues related to edge cases and data biases that affect model training and evaluation; how data documentation practices influence data preparation and labelling; and how to measure relevant AI outcomes reliably in future evaluations. We discuss how our work informs design and development of AI applications that are clinically useful, ethical, and acceptable in real-world healthcare services.
△ Less
Submitted 8 May, 2024;
originally announced May 2024.
-
Empowering Biomedical Discovery with AI Agents
Authors:
Shanghua Gao,
Ada Fang,
Yepeng Huang,
Valentina Giunchiglia,
Ayush Noori,
Jonathan Richard Schwarz,
Yasha Ektefaie,
Jovana Kondic,
Marinka Zitnik
Abstract:
We envision 'AI scientists' as systems capable of skeptical learning and reasoning that empower biomedical research through collaborative agents that integrate machine learning tools with experimental platforms. Rather than taking humans out of the discovery process, biomedical AI agents combine human creativity and expertise with AI's ability to analyze large datasets, navigate hypothesis spaces,…
▽ More
We envision 'AI scientists' as systems capable of skeptical learning and reasoning that empower biomedical research through collaborative agents that integrate machine learning tools with experimental platforms. Rather than taking humans out of the discovery process, biomedical AI agents combine human creativity and expertise with AI's ability to analyze large datasets, navigate hypothesis spaces, and execute repetitive tasks. AI agents are proficient in a variety of tasks, including self-assessment and planning of discovery workflows. These agents use large language models and generative models to feature structured memory for continual learning and use machine learning tools to incorporate scientific knowledge, biological principles, and theories. AI agents can impact areas ranging from hybrid cell simulation, programmable control of phenotypes, and the design of cellular circuits to the development of new therapies.
△ Less
Submitted 3 April, 2024;
originally announced April 2024.
-
Multimodal Healthcare AI: Identifying and Designing Clinically Relevant Vision-Language Applications for Radiology
Authors:
Nur Yildirim,
Hannah Richardson,
Maria T. Wetscherek,
Junaid Bajwa,
Joseph Jacob,
Mark A. Pinnock,
Stephen Harris,
Daniel Coelho de Castro,
Shruthi Bannur,
Stephanie L. Hyland,
Pratik Ghosh,
Mercy Ranjit,
Kenza Bouzid,
Anton Schwaighofer,
Fernando Pérez-García,
Harshita Sharma,
Ozan Oktay,
Matthew Lungren,
Javier Alvarez-Valle,
Aditya Nori,
Anja Thieme
Abstract:
Recent advances in AI combine large language models (LLMs) with vision encoders that bring forward unprecedented technical capabilities to leverage for a wide range of healthcare applications. Focusing on the domain of radiology, vision-language models (VLMs) achieve good performance results for tasks such as generating radiology findings based on a patient's medical image, or answering visual que…
▽ More
Recent advances in AI combine large language models (LLMs) with vision encoders that bring forward unprecedented technical capabilities to leverage for a wide range of healthcare applications. Focusing on the domain of radiology, vision-language models (VLMs) achieve good performance results for tasks such as generating radiology findings based on a patient's medical image, or answering visual questions (e.g., 'Where are the nodules in this chest X-ray?'). However, the clinical utility of potential applications of these capabilities is currently underexplored. We engaged in an iterative, multidisciplinary design process to envision clinically relevant VLM interactions, and co-designed four VLM use concepts: Draft Report Generation, Augmented Report Review, Visual Search and Querying, and Patient Imaging History Highlights. We studied these concepts with 13 radiologists and clinicians who assessed the VLM concepts as valuable, yet articulated many design considerations. Reflecting on our findings, we discuss implications for integrating VLM capabilities in radiology, and for healthcare AI more generally.
△ Less
Submitted 21 February, 2024;
originally announced February 2024.
-
RadEdit: stress-testing biomedical vision models via diffusion image editing
Authors:
Fernando Pérez-García,
Sam Bond-Taylor,
Pedro P. Sanchez,
Boris van Breugel,
Daniel C. Castro,
Harshita Sharma,
Valentina Salvatelli,
Maria T. A. Wetscherek,
Hannah Richardson,
Matthew P. Lungren,
Aditya Nori,
Javier Alvarez-Valle,
Ozan Oktay,
Maximilian Ilse
Abstract:
Biomedical imaging datasets are often small and biased, meaning that real-world performance of predictive models can be substantially lower than expected from internal testing. This work proposes using generative image editing to simulate dataset shifts and diagnose failure modes of biomedical vision models; this can be used in advance of deployment to assess readiness, potentially reducing cost a…
▽ More
Biomedical imaging datasets are often small and biased, meaning that real-world performance of predictive models can be substantially lower than expected from internal testing. This work proposes using generative image editing to simulate dataset shifts and diagnose failure modes of biomedical vision models; this can be used in advance of deployment to assess readiness, potentially reducing cost and patient harm. Existing editing methods can produce undesirable changes, with spurious correlations learned due to the co-occurrence of disease and treatment interventions, limiting practical applicability. To address this, we train a text-to-image diffusion model on multiple chest X-ray datasets and introduce a new editing method RadEdit that uses multiple masks, if present, to constrain changes and ensure consistency in the edited images. We consider three types of dataset shifts: acquisition shift, manifestation shift, and population shift, and demonstrate that our approach can diagnose failures and quantify model robustness without additional data collection, complementing more qualitative tools for explainable AI.
△ Less
Submitted 3 April, 2024; v1 submitted 20 December, 2023;
originally announced December 2023.
-
The Green Bank North Celestial Cap Survey IX: Timing Follow-up for 128 Pulsars
Authors:
A. E. McEwen,
J. K. Swiggum,
D. L. Kaplan,
C. M. Tan,
B. W. Meyers,
E. Fonseca,
G. Y. Agazie,
P. Chawla,
K. Crowter,
M. E. DeCesar,
T. Dolch,
F. A. Dong,
W. Fiore,
E. Fonseca,
D. C. Good,
A. G. Istrate,
V. M. Kaspi,
V. I. Kondratiev,
J. van Leeuwen,
L. Levin,
E. F. Lewis,
R. S. Lynch,
K. W. Masui,
J. W. McKee,
M. A. McLaughlin
, et al. (6 additional authors not shown)
Abstract:
The Green Bank North Celestial Cap survey is one of the largest and most sensitive searches for pulsars and transient radio objects. Observations for the survey have finished; priorities have shifted toward long-term monitoring of its discoveries. In this study, we have developed a pipeline to handle large datasets of archival observations and connect them to recent, high-cadence observations take…
▽ More
The Green Bank North Celestial Cap survey is one of the largest and most sensitive searches for pulsars and transient radio objects. Observations for the survey have finished; priorities have shifted toward long-term monitoring of its discoveries. In this study, we have developed a pipeline to handle large datasets of archival observations and connect them to recent, high-cadence observations taken using the Canadian Hydrogen Intensity Map** Experiment (CHIME) telescope. This pipeline handles data for 128 pulsars and has produced measurements of spin, positional, and orbital parameters that connect data over observation gaps as large as 2000 days. We have also measured glitches in the timing residuals for five of the pulsars included and proper motion for 19 sources (13 new). We include updates to orbital parameters for 19 pulsars, including 9 previously unpublished binaries. For two of these binaries, we provide updated measurements of post-Keplerian binary parameters, which result in much more precise estimates of the total masses of both systems. For PSR J0509+3801, the much improved measurement of the Einstein delay yields much improved mass measurements for the pulsar and its companion, 1.399(6)\Msun and 1.412(6)\Msun, respectively. For this system, we have also obtained a measurement of the orbital decay due to the emission of gravitational waves: $\dot{P}_{\rm B} = -1.37(7)\times10^{-12}$, which is in agreement with the rate predicted by general relativity for these masses.
△ Less
Submitted 12 December, 2023;
originally announced December 2023.
-
Cautionary Tales on Synthetic Controls in Survival Analyses
Authors:
Alicia Curth,
Hoifung Poon,
Aditya V. Nori,
Javier González
Abstract:
Synthetic control (SC) methods have gained rapid popularity in economics recently, where they have been applied in the context of inferring the effects of treatments on standard continuous outcomes assuming linear input-output relations. In medical applications, conversely, survival outcomes are often of primary interest, a setup in which both commonly assumed data-generating processes (DGPs) and…
▽ More
Synthetic control (SC) methods have gained rapid popularity in economics recently, where they have been applied in the context of inferring the effects of treatments on standard continuous outcomes assuming linear input-output relations. In medical applications, conversely, survival outcomes are often of primary interest, a setup in which both commonly assumed data-generating processes (DGPs) and target parameters are different. In this paper, we therefore investigate whether and when SCs could serve as an alternative to matching methods in survival analyses. We find that, because SCs rely on a linearity assumption, they will generally be biased for the true expected survival time in commonly assumed survival DGPs -- even when taking into account the possibility of linearity on another scale as in accelerated failure time models. Additionally, we find that, because SC units follow distributions with lower variance than real control units, summaries of their distributions, such as survival curves, will be biased for the parameters of interest in many survival analyses. Nonetheless, we also highlight that using SCs can still improve upon matching whenever the biases described above are outweighed by extrapolation biases exhibited by imperfect matches, and investigate the use of regularization to trade off the shortcomings of both approaches.
△ Less
Submitted 16 February, 2024; v1 submitted 1 December, 2023;
originally announced December 2023.
-
Beyond Words: A Mathematical Framework for Interpreting Large Language Models
Authors:
Javier González,
Aditya V. Nori
Abstract:
Large language models (LLMs) are powerful AI tools that can generate and comprehend natural language text and other complex information. However, the field lacks a mathematical framework to systematically describe, compare and improve LLMs. We propose Hex a framework that clarifies key terms and concepts in LLM research, such as hallucinations, alignment, self-verification and chain-of-thought rea…
▽ More
Large language models (LLMs) are powerful AI tools that can generate and comprehend natural language text and other complex information. However, the field lacks a mathematical framework to systematically describe, compare and improve LLMs. We propose Hex a framework that clarifies key terms and concepts in LLM research, such as hallucinations, alignment, self-verification and chain-of-thought reasoning. The Hex framework offers a precise and consistent way to characterize LLMs, identify their strengths and weaknesses, and integrate new findings. Using Hex, we differentiate chain-of-thought reasoning from chain-of-thought prompting and establish the conditions under which they are equivalent. This distinction clarifies the basic assumptions behind chain-of-thought prompting and its implications for methods that use it, such as self-verification and prompt programming.
Our goal is to provide a formal framework for LLMs that can help both researchers and practitioners explore new possibilities for generative AI. We do not claim to have a definitive solution, but rather a tool for opening up new research avenues. We argue that our formal definitions and results are crucial for advancing the discussion on how to build generative AI systems that are safe, reliable, fair and robust, especially in domains like healthcare and software engineering.
△ Less
Submitted 6 November, 2023;
originally announced November 2023.
-
TRIALSCOPE: A Unifying Causal Framework for Scaling Real-World Evidence Generation with Biomedical Language Models
Authors:
Javier González,
Cliff Wong,
Zelalem Gero,
Jass Bagga,
Risa Ueno,
Isabel Chien,
Eduard Oravkin,
Emre Kiciman,
Aditya Nori,
Roshanthi Weerasinghe,
Rom S. Leidner,
Brian Piening,
Tristan Naumann,
Carlo Bifulco,
Hoifung Poon
Abstract:
The rapid digitization of real-world data offers an unprecedented opportunity for optimizing healthcare delivery and accelerating biomedical discovery. In practice, however, such data is most abundantly available in unstructured forms, such as clinical notes in electronic medical records (EMRs), and it is generally plagued by confounders. In this paper, we present TRIALSCOPE, a unifying framework…
▽ More
The rapid digitization of real-world data offers an unprecedented opportunity for optimizing healthcare delivery and accelerating biomedical discovery. In practice, however, such data is most abundantly available in unstructured forms, such as clinical notes in electronic medical records (EMRs), and it is generally plagued by confounders. In this paper, we present TRIALSCOPE, a unifying framework for distilling real-world evidence from population-level observational data. TRIALSCOPE leverages biomedical language models to structure clinical text at scale, employs advanced probabilistic modeling for denoising and imputation, and incorporates state-of-the-art causal inference techniques to combat common confounders. Using clinical trial specification as generic representation, TRIALSCOPE provides a turn-key solution to generate and reason with clinical hypotheses using observational data. In extensive experiments and analyses on a large-scale real-world dataset with over one million cancer patients from a large US healthcare network, we show that TRIALSCOPE can produce high-quality structuring of real-world data and generates comparable results to marquee cancer trials. In addition to facilitating in-silicon clinical trial design and optimization, TRIALSCOPE may be used to empower synthetic controls, pragmatic trials, post-market surveillance, as well as support fine-grained patient-like-me reasoning in precision diagnosis and treatment.
△ Less
Submitted 6 November, 2023; v1 submitted 2 November, 2023;
originally announced November 2023.
-
Exploring the Boundaries of GPT-4 in Radiology
Authors:
Qianchu Liu,
Stephanie Hyland,
Shruthi Bannur,
Kenza Bouzid,
Daniel C. Castro,
Maria Teodora Wetscherek,
Robert Tinn,
Harshita Sharma,
Fernando Pérez-García,
Anton Schwaighofer,
Pranav Rajpurkar,
Sameer Tajdin Khanna,
Hoifung Poon,
Naoto Usuyama,
Anja Thieme,
Aditya V. Nori,
Matthew P. Lungren,
Ozan Oktay,
Javier Alvarez-Valle
Abstract:
The recent success of general-domain large language models (LLMs) has significantly changed the natural language processing paradigm towards a unified foundation model across domains and applications. In this paper, we focus on assessing the performance of GPT-4, the most capable LLM so far, on the text-based applications for radiology reports, comparing against state-of-the-art (SOTA) radiology-s…
▽ More
The recent success of general-domain large language models (LLMs) has significantly changed the natural language processing paradigm towards a unified foundation model across domains and applications. In this paper, we focus on assessing the performance of GPT-4, the most capable LLM so far, on the text-based applications for radiology reports, comparing against state-of-the-art (SOTA) radiology-specific models. Exploring various prompting strategies, we evaluated GPT-4 on a diverse range of common radiology tasks and we found GPT-4 either outperforms or is on par with current SOTA radiology models. With zero-shot prompting, GPT-4 already obtains substantial gains ($\approx$ 10% absolute improvement) over radiology models in temporal sentence similarity classification (accuracy) and natural language inference ($F_1$). For tasks that require learning dataset-specific style or schema (e.g. findings summarisation), GPT-4 improves with example-based prompting and matches supervised SOTA. Our extensive error analysis with a board-certified radiologist shows GPT-4 has a sufficient level of radiology knowledge with only occasional errors in complex context that require nuanced domain knowledge. For findings summarisation, GPT-4 outputs are found to be overall comparable with existing manually-written impressions.
△ Less
Submitted 23 October, 2023;
originally announced October 2023.
-
Graph AI in Medicine
Authors:
Ruth Johnson,
Michelle M. Li,
Ayush Noori,
Owen Queen,
Marinka Zitnik
Abstract:
In clinical artificial intelligence (AI), graph representation learning, mainly through graph neural networks (GNNs), stands out for its capability to capture intricate relationships within structured clinical datasets. With diverse data -- from patient records to imaging -- GNNs process data holistically by viewing modalities as nodes interconnected by their relationships. Graph AI facilitates mo…
▽ More
In clinical artificial intelligence (AI), graph representation learning, mainly through graph neural networks (GNNs), stands out for its capability to capture intricate relationships within structured clinical datasets. With diverse data -- from patient records to imaging -- GNNs process data holistically by viewing modalities as nodes interconnected by their relationships. Graph AI facilitates model transfer across clinical tasks, enabling models to generalize across patient populations without additional parameters or minimal re-training. However, the importance of human-centered design and model interpretability in clinical decision-making cannot be overstated. Since graph AI models capture information through localized neural transformations defined on graph relationships, they offer both an opportunity and a challenge in elucidating model rationale. Knowledge graphs can enhance interpretability by aligning model-driven insights with medical knowledge. Emerging graph models integrate diverse data modalities through pre-training, facilitate interactive feedback loops, and foster human-AI collaboration, paving the way to clinically meaningful predictions.
△ Less
Submitted 11 December, 2023; v1 submitted 20 October, 2023;
originally announced October 2023.
-
Balancing exploration and exploitation phases in whale optimization algorithm: an insightful and empirical analysis
Authors:
Aram M. Ahmed,
Tarik A. Rashid,
Bryar A. Hassan,
Jaffer Majidpour,
Kaniaw A. Noori,
Chnoor Maheadeen Rahman,
Mohmad Hussein Abdalla,
Shko M. Qader,
Noor Tayfor,
Naufel B Mohammed
Abstract:
Agents of any metaheuristic algorithms are moving in two modes, namely exploration and exploitation. Obtaining robust results in any algorithm is strongly dependent on how to balance between these two modes. Whale optimization algorithm as a robust and well recognized metaheuristic algorithm in the literature, has proposed a novel scheme to achieve this balance. It has also shown superior results…
▽ More
Agents of any metaheuristic algorithms are moving in two modes, namely exploration and exploitation. Obtaining robust results in any algorithm is strongly dependent on how to balance between these two modes. Whale optimization algorithm as a robust and well recognized metaheuristic algorithm in the literature, has proposed a novel scheme to achieve this balance. It has also shown superior results on a wide range of applications. Moreover, in the previous chapter, an equitable and fair performance evaluation of the algorithm was provided. However, to this point, only comparison of the final results is considered, which does not explain how these results are obtained. Therefore, this chapter attempts to empirically analyze the WOA algorithm in terms of the local and global search capabilities i.e. the ratio of exploration and exploitation phases. To achieve this objective, the dimension-wise diversity measurement is employed, which, at various stages of the optimization process, statistically evaluates the population's convergence and diversity.
△ Less
Submitted 3 September, 2023;
originally announced October 2023.
-
Equitable and Fair Performance Evaluation of Whale Optimization Algorithm
Authors:
Bryar A. Hassan,
Tarik A. Rashid,
Aram Ahmed,
Shko M. Qader,
Jaffer Majidpour,
Mohmad Hussein Abdalla,
Noor Tayfor,
Hozan K. Hamarashid,
Haval Sidqi,
Kaniaw A. Noori
Abstract:
It is essential that all algorithms are exhaustively, somewhat, and intelligently evaluated. Nonetheless, evaluating the effectiveness of optimization algorithms equitably and fairly is not an easy process for various reasons. Choosing and initializing essential parameters, such as the size issues of the search area for each method and the number of iterations required to reduce the issues, might…
▽ More
It is essential that all algorithms are exhaustively, somewhat, and intelligently evaluated. Nonetheless, evaluating the effectiveness of optimization algorithms equitably and fairly is not an easy process for various reasons. Choosing and initializing essential parameters, such as the size issues of the search area for each method and the number of iterations required to reduce the issues, might be particularly challenging. As a result, this chapter aims to contrast the Whale Optimization Algorithm (WOA) with the most recent algorithms on a selected set of benchmark problems with varying benchmark function hardness scores and initial control parameters comparable problem dimensions and search space. When solving a wide range of numerical optimization problems with varying difficulty scores, dimensions, and search areas, the experimental findings suggest that WOA may be statistically superior or inferior to the preceding algorithms referencing convergence speed, running time, and memory utilization.
△ Less
Submitted 4 September, 2023;
originally announced October 2023.
-
The Green Bank North Celestial Cap Survey. VIII. 21 New Pulsar Timing Solutions
Authors:
William Fiore,
Lina Levin,
Maura A. McLaughlin,
Akash Anumarlapudi,
David L. Kaplan,
Joseph K. Swiggum,
Gabriella Y. Agazie,
Robert Bavisotto,
Pragya Chawla,
Megan E. DeCesar,
Timothy Dolch,
Emmanuel Fonseca,
Victoria M. Kaspi,
Zachary Komassa,
Vlad I. Kondratiev,
Joeri van Leeuwen,
Evan F. Lewis,
Ryan S. Lynch,
Alexander E. McEwen,
Rusty Mundorf,
Hind Al Noori,
Emilie Parent,
Ziggy Pleunis,
Scott M. Ransom,
Xavier Siemens
, et al. (4 additional authors not shown)
Abstract:
We present timing solutions for 21 pulsars discovered in 350 MHz surveys using the Green Bank Telescope (GBT). All were discovered in the Green Bank North Celestial Cap pulsar survey, with the exception of PSR J0957-0619, which was found in the GBT 350 MHz Drift-scan pulsar survey. The majority of our timing observations were made with the GBT at 820 MHz. With a spin period of 37 ms and a 528-day…
▽ More
We present timing solutions for 21 pulsars discovered in 350 MHz surveys using the Green Bank Telescope (GBT). All were discovered in the Green Bank North Celestial Cap pulsar survey, with the exception of PSR J0957-0619, which was found in the GBT 350 MHz Drift-scan pulsar survey. The majority of our timing observations were made with the GBT at 820 MHz. With a spin period of 37 ms and a 528-day orbit, PSR J0032+6946 joins a small group of five other mildly recycled wide binary pulsars, for which the duration of recycling through accretion is limited by the length of the companion's giant phase. PSRs J0141+6303 and J1327+3423 are new disrupted recycled pulsars. We incorporate Arecibo observations from the NANOGrav pulsar timing array into our analysis of the latter. We also observed PSR J1327+3423 with the Long Wavelength Array, and our data suggest a frequency-dependent dispersion measure. PSR J0957-0619 was discovered as a rotating radio transient, but is a nulling pulsar at 820 MHz. PSR J1239+3239 is a new millisecond pulsar (MSP) in a 4-day orbit with a low-mass companion. Four of our pulsars already have published timing solutions, which we update in this work: the recycled wide binary PSR J0214+5222, the non-eclipsing black widow PSR J0636+5128, the disrupted recycled pulsar J1434+7257, and the eclipsing binary MSP J1816+4510, which is in an 8.7 hr orbit with a redback-mass companion.
△ Less
Submitted 22 May, 2023;
originally announced May 2023.
-
Compositional Zero-Shot Domain Transfer with Text-to-Text Models
Authors:
Fangyu Liu,
Qianchu Liu,
Shruthi Bannur,
Fernando Pérez-García,
Naoto Usuyama,
Sheng Zhang,
Tristan Naumann,
Aditya Nori,
Hoifung Poon,
Javier Alvarez-Valle,
Ozan Oktay,
Stephanie L. Hyland
Abstract:
Label scarcity is a bottleneck for improving task performance in specialised domains. We propose a novel compositional transfer learning framework (DoT5 - domain compositional zero-shot T5) for zero-shot domain transfer. Without access to in-domain labels, DoT5 jointly learns domain knowledge (from MLM of unlabelled in-domain free text) and task knowledge (from task training on more readily availa…
▽ More
Label scarcity is a bottleneck for improving task performance in specialised domains. We propose a novel compositional transfer learning framework (DoT5 - domain compositional zero-shot T5) for zero-shot domain transfer. Without access to in-domain labels, DoT5 jointly learns domain knowledge (from MLM of unlabelled in-domain free text) and task knowledge (from task training on more readily available general-domain data) in a multi-task manner. To improve the transferability of task training, we design a strategy named NLGU: we simultaneously train NLG for in-domain label-to-data generation which enables data augmentation for self-finetuning and NLU for label prediction. We evaluate DoT5 on the biomedical domain and the resource-lean subdomain of radiology, focusing on NLI, text summarisation and embedding learning. DoT5 demonstrates the effectiveness of compositional transfer learning through multi-task learning. In particular, DoT5 outperforms the current SOTA in zero-shot transfer by over 7 absolute points in accuracy on RadNLI. We validate DoT5 with ablations and a case study demonstrating its ability to solve challenging NLI examples requiring in-domain expertise.
△ Less
Submitted 23 March, 2023;
originally announced March 2023.
-
Learning to Exploit Temporal Structure for Biomedical Vision-Language Processing
Authors:
Shruthi Bannur,
Stephanie Hyland,
Qianchu Liu,
Fernando Pérez-García,
Maximilian Ilse,
Daniel C. Castro,
Benedikt Boecking,
Harshita Sharma,
Kenza Bouzid,
Anja Thieme,
Anton Schwaighofer,
Maria Wetscherek,
Matthew P. Lungren,
Aditya Nori,
Javier Alvarez-Valle,
Ozan Oktay
Abstract:
Self-supervised learning in vision-language processing exploits semantic alignment between imaging and text modalities. Prior work in biomedical VLP has mostly relied on the alignment of single image and report pairs even though clinical notes commonly refer to prior images. This does not only introduce poor alignment between the modalities but also a missed opportunity to exploit rich self-superv…
▽ More
Self-supervised learning in vision-language processing exploits semantic alignment between imaging and text modalities. Prior work in biomedical VLP has mostly relied on the alignment of single image and report pairs even though clinical notes commonly refer to prior images. This does not only introduce poor alignment between the modalities but also a missed opportunity to exploit rich self-supervision through existing temporal content in the data. In this work, we explicitly account for prior images and reports when available during both training and fine-tuning. Our approach, named BioViL-T, uses a CNN-Transformer hybrid multi-image encoder trained jointly with a text model. It is designed to be versatile to arising challenges such as pose variations and missing input images across time. The resulting model excels on downstream tasks both in single- and multi-image setups, achieving state-of-the-art performance on (I) progression classification, (II) phrase grounding, and (III) report generation, whilst offering consistent improvements on disease classification and sentence-similarity tasks. We release a novel multi-modal temporal benchmark dataset, MS-CXR-T, to quantify the quality of vision-language representations in terms of temporal semantics. Our experimental results show the advantages of incorporating prior images and reports to make most use of the data.
△ Less
Submitted 16 March, 2023; v1 submitted 11 January, 2023;
originally announced January 2023.
-
The Green Bank North Celestial Cap Survey. VII. 12 New Pulsar Timing Solutions
Authors:
Joseph K. Swiggum,
Ziggy Pleunis,
Emilie Parent,
David L. Kaplan,
Maura A. McLaughlin,
Ingrid H. Stairs,
Renée Spiewak,
Gabriella Y. Agazie,
Pragya Chawla,
Megan E. DeCesar,
Timothy Dolch,
William Fiore,
Emmanuel Fonseca,
Alina G. Istrate,
Victoria M. Kaspi,
Vlad I. Kondratiev,
Joeri van Leeuwen,
Lina Levin,
Evan F. Lewis,
Ryan S. Lynch,
Alex E. McEwen,
Hind Al Noori,
Scott M. Ransom,
Xavier Siemens,
Mayuresh Surnis
Abstract:
We present timing solutions for 12 pulsars discovered in the Green Bank North Celestial Cap (GBNCC) 350 MHz pulsar survey, including six millisecond pulsars (MSPs), a double neutron star (DNS) system, and a pulsar orbiting a massive white dwarf companion. Timing solutions presented here include 350 and 820 MHz Green Bank Telescope data from initial confirmation and follow-up as well as a dedicated…
▽ More
We present timing solutions for 12 pulsars discovered in the Green Bank North Celestial Cap (GBNCC) 350 MHz pulsar survey, including six millisecond pulsars (MSPs), a double neutron star (DNS) system, and a pulsar orbiting a massive white dwarf companion. Timing solutions presented here include 350 and 820 MHz Green Bank Telescope data from initial confirmation and follow-up as well as a dedicated timing campaign spanning one year. PSR J1122$-$3546 is an isolated MSP, PSRs J1221$-$0633 and J1317$-$0157 are MSPs in black widow systems and regularly exhibit eclipses, and PSRs J2022+2534 and J2039$-$3616 are MSPs that can be timed with high precision and have been included in pulsar timing array experiments seeking to detect low-frequency gravitational waves. PSRs J1221$-$0633 and J2039$-$3616 have Fermi Large Area Telescope $γ$-ray counterparts and also exhibit significant $γ$-ray pulsations. We measure proper motion for three of the MSPs in this sample and estimate their space velocities, which are typical compared to those of other MSPs. We have detected the advance of periastron for PSR J1018$-$1523 and therefore measure the total mass of the double neutron star system, $m_{\rm tot}=2.3\pm0.3$ M$_{\odot}$. Long-term pulsar timing with data spanning more than one year is critical for classifying recycled pulsars, carrying out detailed astrometry studies, and shedding light on the wealth of information in these systems post-discovery.
△ Less
Submitted 7 December, 2022;
originally announced December 2022.
-
Multimodal learning with graphs
Authors:
Yasha Ektefaie,
George Dasoulas,
Ayush Noori,
Maha Farhat,
Marinka Zitnik
Abstract:
Artificial intelligence for graphs has achieved remarkable success in modeling complex systems, ranging from dynamic networks in biology to interacting particle systems in physics. However, the increasingly heterogeneous graph datasets call for multimodal methods that can combine different inductive biases: the set of assumptions that algorithms use to make predictions for inputs they have not enc…
▽ More
Artificial intelligence for graphs has achieved remarkable success in modeling complex systems, ranging from dynamic networks in biology to interacting particle systems in physics. However, the increasingly heterogeneous graph datasets call for multimodal methods that can combine different inductive biases: the set of assumptions that algorithms use to make predictions for inputs they have not encountered during training. Learning on multimodal datasets presents fundamental challenges because the inductive biases can vary by data modality and graphs might not be explicitly given in the input. To address these challenges, multimodal graph AI methods combine different modalities while leveraging cross-modal dependencies using graphs. Diverse datasets are combined using graphs and fed into sophisticated multimodal architectures, specified as image-intensive, knowledge-grounded and language-intensive models. Using this categorization, we introduce a blueprint for multimodal graph learning, use it to study existing methods and provide guidelines to design new models.
△ Less
Submitted 23 January, 2023; v1 submitted 7 September, 2022;
originally announced September 2022.
-
Repairing Neural Networks by Leaving the Right Past Behind
Authors:
Ryutaro Tanno,
Melanie F. Pradier,
Aditya Nori,
Yingzhen Li
Abstract:
Prediction failures of machine learning models often arise from deficiencies in training data, such as incorrect labels, outliers, and selection biases. However, such data points that are responsible for a given failure mode are generally not known a priori, let alone a mechanism for repairing the failure. This work draws on the Bayesian view of continual learning, and develops a generic framework…
▽ More
Prediction failures of machine learning models often arise from deficiencies in training data, such as incorrect labels, outliers, and selection biases. However, such data points that are responsible for a given failure mode are generally not known a priori, let alone a mechanism for repairing the failure. This work draws on the Bayesian view of continual learning, and develops a generic framework for both, identifying training examples that have given rise to the target failure, and fixing the model through erasing information about them. This framework naturally allows leveraging recent advances in continual learning to this new problem of model repairment, while subsuming the existing works on influence functions and data deletion as specific instances. Experimentally, the proposed approach outperforms the baselines for both identification of detrimental training data and fixing model failures in a generalisable manner.
△ Less
Submitted 9 November, 2022; v1 submitted 11 July, 2022;
originally announced July 2022.
-
Identification via Retinal Vessels Combining LBP and HOG
Authors:
Ali Noori
Abstract:
With development of information technology and necessity for high security, using different identification methods has become very important. Each biometric feature has its own advantages and disadvantages and choosing each of them depends on our usage. Retinal scanning is a bio scale method for identification. The retina is composed of vessels and optical disk. The vessels distribution pattern is…
▽ More
With development of information technology and necessity for high security, using different identification methods has become very important. Each biometric feature has its own advantages and disadvantages and choosing each of them depends on our usage. Retinal scanning is a bio scale method for identification. The retina is composed of vessels and optical disk. The vessels distribution pattern is one the remarkable retinal identification methods. In this paper, a new approach is presented for identification via retinal images using LBP and hog methods. In the proposed method, it will be tried to separate the retinal vessels accurately via machine vision techniques which will have good sustainability in rotation and size change. HOG-based or LBP-based methods or their combination can be used for separation and also HSV color space can be used too. Having extracted the features, the similarity criteria can be used for identification. The implementation of proposed method and its comparison with one of the newly-presented methods in this area shows better performance of the proposed method.
△ Less
Submitted 3 June, 2022;
originally announced June 2022.
-
TransforMAP: Transformer for Memory Access Prediction
Authors:
Pengmiao Zhang,
Ajitesh Srivastava,
Anant V. Nori,
Rajgopal Kannan,
Viktor K. Prasanna
Abstract:
Data Prefetching is a technique that can hide memory latency by fetching data before it is needed by a program. Prefetching relies on accurate memory access prediction, to which task machine learning based methods are increasingly applied. Unlike previous approaches that learn from deltas or offsets and perform one access prediction, we develop TransforMAP, based on the powerful Transformer model,…
▽ More
Data Prefetching is a technique that can hide memory latency by fetching data before it is needed by a program. Prefetching relies on accurate memory access prediction, to which task machine learning based methods are increasingly applied. Unlike previous approaches that learn from deltas or offsets and perform one access prediction, we develop TransforMAP, based on the powerful Transformer model, that can learn from the whole address space and perform multiple cache line predictions. We propose to use the binary of memory addresses as model input, which avoids information loss and saves a token table in hardware. We design a block index bitmap to collect unordered future page offsets under the current page address as learning labels. As a result, our model can learn temporal patterns as well as spatial patterns within a page. In a practical implementation, this approach has the potential to hide prediction latency because it prefetches multiple cache lines likely to be used in a long horizon. We show that our approach achieves 35.67% MPKI improvement and 20.55% IPC improvement in simulation, higher than state-of-the-art Best-Offset prefetcher and ISB prefetcher.
△ Less
Submitted 29 May, 2022;
originally announced May 2022.
-
A multi-wavelength study of GRS 1716-249 in outburst : constraints on its system parameters
Authors:
Payaswini Saikia,
David M. Russell,
M. C. Baglio,
D. M. Bramich,
Piergiorgio Casella,
M. Diaz Trigo,
Poshak Gandhi,
Jiachen Jiang,
Thomas Maccarone,
Roberto Soria,
Hind Al Noori,
Aisha Al Yazeedi,
Kevin Alabarta,
Tomaso Belloni,
Marion Cadolle Bel,
Chiara Ceccobello,
Stephane Corbel,
Rob Fender,
Elena Gallo,
Jeroen Homan,
Karri Koljonen,
Fraser Lewis,
Sera B. Markoff,
James C. A. Miller-Jones,
Jerome Rodriguez
, et al. (5 additional authors not shown)
Abstract:
We present a detailed study of the evolution of the Galactic black hole transient GRS 1716-249 during its 2016-2017 outburst at optical (Las Cumbres Observatory), mid-infrared (Very Large Telescope), near-infrared (Rapid Eye Mount telescope), and ultraviolet (the Neil Gehrels Swift Observatory Ultraviolet/Optical Telescope) wavelengths, along with archival radio and X-ray data. We show that the op…
▽ More
We present a detailed study of the evolution of the Galactic black hole transient GRS 1716-249 during its 2016-2017 outburst at optical (Las Cumbres Observatory), mid-infrared (Very Large Telescope), near-infrared (Rapid Eye Mount telescope), and ultraviolet (the Neil Gehrels Swift Observatory Ultraviolet/Optical Telescope) wavelengths, along with archival radio and X-ray data. We show that the optical/near-infrared and UV emission of the source mainly originates from a multi-temperature accretion disk, while the mid-infrared and radio emission are dominated by synchrotron emission from a compact jet. The optical/UV flux density is correlated with the X-ray emission when the source is in the hard state, consistent with an X-ray irradiated accretion disk with an additional contribution from the viscous disk during the outburst fade. We also report the long-term optical light curve of the source and find that the quiescent i-band magnitude is 21.39$\pm$0.15 mag. Furthermore, we discuss how previous estimates of the system parameters of the source are based on various incorrect assumptions, and so are likely to be inaccurate. By comparing our GRS 1716-249 dataset to those of other outbursting black hole X-ray binaries, we find that while GRS 1716-249 shows similar X-ray behaviour, it is noticeably optically fainter, if the literature distance of 2.4 kpc is adopted. Using several lines of reasoning, we argue that the source distance is further than previously assumed in the literature, likely within 4-17 kpc, with a most likely range of $\sim$4-8 kpc.
△ Less
Submitted 9 May, 2022;
originally announced May 2022.
-
Fine-Grained Address Segmentation for Attention-Based Variable-Degree Prefetching
Authors:
Pengmiao Zhang,
Ajitesh Srivastava,
Anant V. Nori,
Rajgopal Kannan,
Viktor K. Prasanna
Abstract:
Machine learning algorithms have shown potential to improve prefetching performance by accurately predicting future memory accesses. Existing approaches are based on the modeling of text prediction, considering prefetching as a classification problem for sequence prediction. However, the vast and sparse memory address space leads to large vocabulary, which makes this modeling impractical. The numb…
▽ More
Machine learning algorithms have shown potential to improve prefetching performance by accurately predicting future memory accesses. Existing approaches are based on the modeling of text prediction, considering prefetching as a classification problem for sequence prediction. However, the vast and sparse memory address space leads to large vocabulary, which makes this modeling impractical. The number and order of outputs for multiple cache line prefetching are also fundamentally different from text prediction. We propose TransFetch, a novel way to model prefetching. To reduce vocabulary size, we use fine-grained address segmentation as input. To predict unordered sets of future addresses, we use delta bitmaps for multiple outputs. We apply an attention-based network to learn the map** between input and output. Prediction experiments demonstrate that address segmentation achieves 26% - 36% higher F1-score than delta inputs and 15% - 24% higher F1-score than page & offset inputs for SPEC 2006, SPEC 2017, and GAP benchmarks. Simulation results show that TransFetch achieves 38.75% IPC improvement compared with no prefetching, outperforming the best-performing rule-based prefetcher BOP by 10.44%, and ML-based prefetcher Voyager by 6.64%.
△ Less
Submitted 1 May, 2022;
originally announced May 2022.
-
Making the Most of Text Semantics to Improve Biomedical Vision--Language Processing
Authors:
Benedikt Boecking,
Naoto Usuyama,
Shruthi Bannur,
Daniel C. Castro,
Anton Schwaighofer,
Stephanie Hyland,
Maria Wetscherek,
Tristan Naumann,
Aditya Nori,
Javier Alvarez-Valle,
Hoifung Poon,
Ozan Oktay
Abstract:
Multi-modal data abounds in biomedicine, such as radiology images and reports. Interpreting this data at scale is essential for improving clinical care and accelerating clinical research. Biomedical text with its complex semantics poses additional challenges in vision--language modelling compared to the general domain, and previous work has used insufficiently adapted models that lack domain-speci…
▽ More
Multi-modal data abounds in biomedicine, such as radiology images and reports. Interpreting this data at scale is essential for improving clinical care and accelerating clinical research. Biomedical text with its complex semantics poses additional challenges in vision--language modelling compared to the general domain, and previous work has used insufficiently adapted models that lack domain-specific language understanding. In this paper, we show that principled textual semantic modelling can substantially improve contrastive learning in self-supervised vision--language processing. We release a language model that achieves state-of-the-art results in radiology natural language inference through its improved vocabulary and novel language pretraining objective leveraging semantics and discourse characteristics in radiology reports. Further, we propose a self-supervised joint vision--language approach with a focus on better text modelling. It establishes new state of the art results on a wide range of publicly available benchmarks, in part by leveraging our new domain-specific language model. We release a new dataset with locally-aligned phrase grounding annotations by radiologists to facilitate the study of complex semantic modelling in biomedical vision--language processing. A broad evaluation, including on this new dataset, shows that our contrastive learning approach, aided by textual-semantic modelling, outperforms prior methods in segmentation tasks, despite only using a global-alignment objective.
△ Less
Submitted 21 July, 2022; v1 submitted 20 April, 2022;
originally announced April 2022.
-
Resilience of critical structures, infrastructures and communities
Authors:
Gian Paolo Cimellaro,
Ali Zamani Noori,
Omar Kammouh,
Vesna Terzic,
Stephen A. Mahin
Abstract:
In recent years, the concept of resilience has been introduced to the field of engineering as it relates to disaster mitigation and management. However, the built environment is only one element that supports community functionality. Maintaining community functionality during and after a disaster, defined as resilience, is influenced by multiple components. This report summarizes the research acti…
▽ More
In recent years, the concept of resilience has been introduced to the field of engineering as it relates to disaster mitigation and management. However, the built environment is only one element that supports community functionality. Maintaining community functionality during and after a disaster, defined as resilience, is influenced by multiple components. This report summarizes the research activities of the first two years of an ongoing collaboration between the Politecnico di Torino and the University of California, Berkeley, in the field of disaster resilience. Chapter 1 focuses on the economic dimension of disaster resilience with an application to the San Francisco Bay Area; Chapter 2 analyzes the option of using base-isolation systems to improve the resilience of hospitals and school buildings; Chapter 3 investigates the possibility to adopt discrete event simulation models and a meta-model to measure the resilience of the emergency department of a hospital; Chapter 4 applies the meta-model developed in Chapter 3 to the hospital network in the San Francisco Bay Area, showing the potential of the model for design purposes Chapter 5 uses a questionnaire combined with factorial analysis to evaluate the resilience of a hospital; Chapter 6 applies the concept of agent-based models to analyze the performance of socio-technical networks during an emergency. Two applications are shown: a museum and a train station; Chapter 7 defines restoration fragility functions as tools to measure uncertainties in the restoration process; and Chapter 8 focuses on modeling infrastructure interdependencies using temporal networks at different spatial scales.
△ Less
Submitted 19 February, 2022;
originally announced February 2022.
-
Using Artificial Intelligence and real galaxy images to constrain parameters in galaxy formation simulations
Authors:
Andrea V. Macciò,
Mohamad Ali-Dib,
Pavle Vulanović,
Hind Al Noori,
Fabian Walter,
Nico Krieger,
Tobias Buck
Abstract:
Cosmological galaxy formation simulations are still limited by their spatial/mass resolution and cannot model from first principles some of the processes, like star formation, that are key in driving galaxy evolution. As a consequence they still rely on a set of 'effective parameters' that try to capture the scales and the physical processes that cannot be directly resolved in the simulation. In t…
▽ More
Cosmological galaxy formation simulations are still limited by their spatial/mass resolution and cannot model from first principles some of the processes, like star formation, that are key in driving galaxy evolution. As a consequence they still rely on a set of 'effective parameters' that try to capture the scales and the physical processes that cannot be directly resolved in the simulation. In this study we show that it is possible to use Machine Learning techniques applied to real and simulated images of galaxies to discriminate between different values of these parameters by making use of the full information content of an astronomical image instead of collapsing it into a limited set of values like size, or stellar/ gas masses. In this work we apply our method to the NIHAO simulations and the THINGS and VLA-ANGST observations of HI maps in nearby galaxies to test the ability of different values of the star formation density threshold $n$ to reproduce observed HI maps. We show that observations indicate the need for a high value of $n \gtrsim 80$ ,cm$^{-3}$ (although the exact numerical value is model-dependent), which has important consequences for the dark matter distribution in galaxies. Our study shows that with innovative methods it is possible to take full advantage of the information content of galaxy images and compare simulations and observations in an interpretable, non-parametric and quantitative manner.
△ Less
Submitted 18 February, 2022;
originally announced February 2022.
-
NeuraHealth: An Automated Screening Pipeline to Detect Undiagnosed Cognitive Impairment in Electronic Health Records with Deep Learning and Natural Language Processing
Authors:
Tanish Tyagi,
Colin G. Magdamo,
Ayush Noori,
Zhaozhi Li,
Xiao Liu,
Mayuresh Deodhar,
Zhuoqiao Hong,
Wendong Ge,
Elissa M. Ye,
Yi-han Sheu,
Haitham Alabsi,
Laura Brenner,
Gregory K. Robbins,
Sahar Zafar,
Nicole Benson,
Lidia Moura,
John Hsu,
Alberto Serrano-Pozo,
Dimitry Prokopenko,
Rudolph E. Tanzi,
Bradley T. Hyman,
Deborah Blacker,
Shibani S. Mukerji,
M. Brandon Westover,
Sudeshna Das
Abstract:
Dementia related cognitive impairment (CI) is a neurodegenerative disorder, affecting over 55 million people worldwide and growing rapidly at the rate of one new case every 3 seconds. 75% cases go undiagnosed globally with up to 90% in low-and-middle-income countries, leading to an estimated annual worldwide cost of USD 1.3 trillion, forecasted to reach 2.8 trillion by 2030. With no cure, a recurr…
▽ More
Dementia related cognitive impairment (CI) is a neurodegenerative disorder, affecting over 55 million people worldwide and growing rapidly at the rate of one new case every 3 seconds. 75% cases go undiagnosed globally with up to 90% in low-and-middle-income countries, leading to an estimated annual worldwide cost of USD 1.3 trillion, forecasted to reach 2.8 trillion by 2030. With no cure, a recurring failure of clinical trials, and a lack of early diagnosis, the mortality rate is 100%. Information in electronic health records (EHR) can provide vital clues for early detection of CI, but a manual review by experts is tedious and error prone. Several computational methods have been proposed, however, they lack an enhanced understanding of the linguistic context in complex language structures of EHR. Therefore, I propose a novel and more accurate framework, NeuraHealth, to identify patients who had no earlier diagnosis. In NeuraHealth, using patient EHR from Mass General Brigham BioBank, I fine-tuned a bi-directional attention-based deep learning natural language processing model to classify sequences. The sequence predictions were used to generate structured features as input for a patient level regularized logistic regression model. This two-step framework creates high dimensionality, outperforming all existing state-of-the-art computational methods as well as clinical methods. Further, I integrate the models into a real-world product, a web app, to create an automated EHR screening pipeline for scalable and high-speed discovery of undetected CI in EHR, making early diagnosis viable in medical facilities and in regions with scarce health services.
△ Less
Submitted 20 June, 2022; v1 submitted 12 January, 2022;
originally announced February 2022.
-
Using Deep Learning to Identify Patients with Cognitive Impairment in Electronic Health Records
Authors:
Tanish Tyagi,
Colin G. Magdamo,
Ayush Noori,
Zhaozhi Li,
Xiao Liu,
Mayuresh Deodhar,
Zhuoqiao Hong,
Wendong Ge,
Elissa M. Ye,
Yi-han Sheu,
Haitham Alabsi,
Laura Brenner,
Gregory K. Robbins,
Sahar Zafar,
Nicole Benson,
Lidia Moura,
John Hsu,
Alberto Serrano-Pozo,
Dimitry Prokopenko,
Rudolph E. Tanzi,
Bradley T. Hyman,
Deborah Blacker,
Shibani S. Mukerji,
M. Brandon Westover,
Sudeshna Das
Abstract:
Dementia is a neurodegenerative disorder that causes cognitive decline and affects more than 50 million people worldwide. Dementia is under-diagnosed by healthcare professionals - only one in four people who suffer from dementia are diagnosed. Even when a diagnosis is made, it may not be entered as a structured International Classification of Diseases (ICD) diagnosis code in a patient's charts. In…
▽ More
Dementia is a neurodegenerative disorder that causes cognitive decline and affects more than 50 million people worldwide. Dementia is under-diagnosed by healthcare professionals - only one in four people who suffer from dementia are diagnosed. Even when a diagnosis is made, it may not be entered as a structured International Classification of Diseases (ICD) diagnosis code in a patient's charts. Information relevant to cognitive impairment (CI) is often found within electronic health records (EHR), but manual review of clinician notes by experts is both time consuming and often prone to errors. Automated mining of these notes presents an opportunity to label patients with cognitive impairment in EHR data. We developed natural language processing (NLP) tools to identify patients with cognitive impairment and demonstrate that linguistic context enhances performance for the cognitive impairment classification task. We fine-tuned our attention based deep learning model, which can learn from complex language structures, and substantially improved accuracy (0.93) relative to a baseline NLP model (0.84). Further, we show that deep learning NLP can successfully identify dementia patients without dementia-related ICD codes or medications.
△ Less
Submitted 12 November, 2021;
originally announced November 2021.
-
Pythia: A Customizable Hardware Prefetching Framework Using Online Reinforcement Learning
Authors:
Rahul Bera,
Konstantinos Kanellopoulos,
Anant V. Nori,
Taha Shahroodi,
Sreenivas Subramoney,
Onur Mutlu
Abstract:
Past research has proposed numerous hardware prefetching techniques, most of which rely on exploiting one specific type of program context information (e.g., program counter, cacheline address) to predict future memory accesses. These techniques either completely neglect a prefetcher's undesirable effects (e.g., memory bandwidth usage) on the overall system, or incorporate system-level feedback as…
▽ More
Past research has proposed numerous hardware prefetching techniques, most of which rely on exploiting one specific type of program context information (e.g., program counter, cacheline address) to predict future memory accesses. These techniques either completely neglect a prefetcher's undesirable effects (e.g., memory bandwidth usage) on the overall system, or incorporate system-level feedback as an afterthought to a system-unaware prefetch algorithm. We show that prior prefetchers often lose their performance benefit over a wide range of workloads and system configurations due to their inherent inability to take multiple different types of program context and system-level feedback information into account while prefetching. In this paper, we make a case for designing a holistic prefetch algorithm that learns to prefetch using multiple different types of program context and system-level feedback information inherent to its design.
To this end, we propose Pythia, which formulates the prefetcher as a reinforcement learning agent. For every demand request, Pythia observes multiple different types of program context information to make a prefetch decision. For every prefetch decision, Pythia receives a numerical reward that evaluates prefetch quality under the current memory bandwidth usage. Pythia uses this reward to reinforce the correlation between program context information and prefetch decision to generate highly accurate, timely, and system-aware prefetch requests in the future. Our extensive evaluations using simulation and hardware synthesis show that Pythia outperforms multiple state-of-the-art prefetchers over a wide range of workloads and system configurations, while incurring only 1.03% area overhead over a desktop-class processor and no software changes in workloads. The source code of Pythia can be freely downloaded from https://github.com/CMU-SAFARI/Pythia.
△ Less
Submitted 6 April, 2023; v1 submitted 24 September, 2021;
originally announced September 2021.
-
Active label cleaning for improved dataset quality under resource constraints
Authors:
Melanie Bernhardt,
Daniel C. Castro,
Ryutaro Tanno,
Anton Schwaighofer,
Kerem C. Tezcan,
Miguel Monteiro,
Shruthi Bannur,
Matthew Lungren,
Aditya Nori,
Ben Glocker,
Javier Alvarez-Valle,
Ozan Oktay
Abstract:
Imperfections in data annotation, known as label noise, are detrimental to the training of machine learning models and have an often-overlooked confounding effect on the assessment of model performance. Nevertheless, employing experts to remove label noise by fully re-annotating large datasets is infeasible in resource-constrained settings, such as healthcare. This work advocates for a data-driven…
▽ More
Imperfections in data annotation, known as label noise, are detrimental to the training of machine learning models and have an often-overlooked confounding effect on the assessment of model performance. Nevertheless, employing experts to remove label noise by fully re-annotating large datasets is infeasible in resource-constrained settings, such as healthcare. This work advocates for a data-driven approach to prioritising samples for re-annotation - which we term "active label cleaning". We propose to rank instances according to estimated label correctness and labelling difficulty of each sample, and introduce a simulation framework to evaluate relabelling efficacy. Our experiments on natural images and on a new medical imaging benchmark show that cleaning noisy labels mitigates their negative impact on model training, evaluation, and selection. Crucially, the proposed active label cleaning enables correcting labels up to 4 times more effectively than typical random selection in realistic conditions, making better use of experts' valuable time for improving dataset quality.
△ Less
Submitted 10 February, 2022; v1 submitted 1 September, 2021;
originally announced September 2021.
-
Hierarchical Analysis of Visual COVID-19 Features from Chest Radiographs
Authors:
Shruthi Bannur,
Ozan Oktay,
Melanie Bernhardt,
Anton Schwaighofer,
Rajesh Jena,
Besmira Nushi,
Sharan Wadhwani,
Aditya Nori,
Kal Natarajan,
Shazad Ashraf,
Javier Alvarez-Valle,
Daniel C. Castro
Abstract:
Chest radiography has been a recommended procedure for patient triaging and resource management in intensive care units (ICUs) throughout the COVID-19 pandemic. The machine learning efforts to augment this workflow have been long challenged due to deficiencies in reporting, model evaluation, and failure mode analysis. To address some of those shortcomings, we model radiological features with a hum…
▽ More
Chest radiography has been a recommended procedure for patient triaging and resource management in intensive care units (ICUs) throughout the COVID-19 pandemic. The machine learning efforts to augment this workflow have been long challenged due to deficiencies in reporting, model evaluation, and failure mode analysis. To address some of those shortcomings, we model radiological features with a human-interpretable class hierarchy that aligns with the radiological decision process. Also, we propose the use of a data-driven error analysis methodology to uncover the blind spots of our model, providing further transparency on its clinical utility. For example, our experiments show that model failures highly correlate with ICU imaging conditions and with the inherent difficulty in distinguishing certain types of radiological features. Also, our hierarchical interpretation and analysis facilitates the comparison with respect to radiologists' findings and inter-variability, which in return helps us to better assess the clinical applicability of models.
△ Less
Submitted 14 July, 2021;
originally announced July 2021.
-
Incentivizing Peer-to-Peer Energy Trading in Microgrids
Authors:
Amir Noori,
Babak Tavassoli,
Alireza Fereidunian
Abstract:
Recent trends express the impact of prosumers and small energy resources and storages in distribution systems, due to the increasing uptake of renewable resources. This research studies the effect of coordination of distributed resources with the utility grid and the role of prosumers in the operation of renewable microgrids. We formulated this problem as a social welfare maximization problem foll…
▽ More
Recent trends express the impact of prosumers and small energy resources and storages in distribution systems, due to the increasing uptake of renewable resources. This research studies the effect of coordination of distributed resources with the utility grid and the role of prosumers in the operation of renewable microgrids. We formulated this problem as a social welfare maximization problem followed by employing the dual decomposition method to decompose it into sub-problems of the microgrid, distributed generators, prosumers, and consumers. Moreover, the corresponding power balance mechanism via price adjustment can be viewed as a Walrasian tatonnement process. Specifically, prosumers and consumers compete to adjust their energy exchange with other agents to maximize their profit gained by renewable emission reduction benefits while minimizing the associated cost of energy. To this end, we have adopted a peer-to-peer energy trading mechanism based on continuous double auction that can be viewed as a multi-parametric quadratic problem. Finally, we proposed a distributed adaptive algorithm that determines strategies as well as payment and assignment rules. The numerical result suggests that the proposed method can incentivize peer-to-peer energy trading while improving the cost fairness problem and the peak-to-average ratio.
△ Less
Submitted 21 May, 2021;
originally announced May 2021.
-
pLUTo: Enabling Massively Parallel Computation in DRAM via Lookup Tables
Authors:
João Dinis Ferreira,
Gabriel Falcao,
Juan Gómez-Luna,
Mohammed Alser,
Lois Orosa,
Mohammad Sadrosadati,
Jeremie S. Kim,
Geraldo F. Oliveira,
Taha Shahroodi,
Anant Nori,
Onur Mutlu
Abstract:
Data movement between the main memory and the processor is a key contributor to execution time and energy consumption in memory-intensive applications. This data movement bottleneck can be alleviated using Processing-in-Memory (PiM). One category of PiM is Processing-using-Memory (PuM), in which computation takes place inside the memory array by exploiting intrinsic analog properties of the memory…
▽ More
Data movement between the main memory and the processor is a key contributor to execution time and energy consumption in memory-intensive applications. This data movement bottleneck can be alleviated using Processing-in-Memory (PiM). One category of PiM is Processing-using-Memory (PuM), in which computation takes place inside the memory array by exploiting intrinsic analog properties of the memory device. PuM yields high performance and energy efficiency, but existing PuM techniques support a limited range of operations. As a result, current PuM architectures cannot efficiently perform some complex operations (e.g., multiplication, division, exponentiation) without large increases in chip area and design complexity.
To overcome these limitations of existing PuM architectures, we introduce pLUTo (processing-using-memory with lookup table (LUT) operations), a DRAM-based PuM architecture that leverages the high storage density of DRAM to enable the massively parallel storing and querying of lookup tables (LUTs). The key idea of pLUTo is to replace complex operations with low-cost, bulk memory reads (i.e., LUT queries) instead of relying on complex extra logic.
We evaluate pLUTo across 11 real-world workloads that showcase the limitations of prior PuM approaches and show that our solution outperforms optimized CPU and GPU baselines by an average of 713$\times$ and 1.2$\times$, respectively, while simultaneously reducing energy consumption by an average of 1855$\times$ and 39.5$\times$. Across these workloads, pLUTo outperforms state-of-the-art PiM architectures by an average of 18.3$\times$. We also show that different versions of pLUTo provide different levels of flexibility and performance at different additional DRAM area overheads (between 10.2% and 23.1%). pLUTo's source code is openly and fully available at https://github.com/CMU-SAFARI/pLUTo.
△ Less
Submitted 3 October, 2022; v1 submitted 15 April, 2021;
originally announced April 2021.
-
The Green Bank Northern Celestial Cap Pulsar Survey. VI. Timing and Discovery of PSR J1759+5036: A Double Neutron Star Binary Pulsar
Authors:
Gabriella Agazie,
Michael Mingyar,
Maura McLaughlin,
Joseph Swiggum,
David Kaplan,
Harsha Blumer,
Pragya Chawla,
Megan DeCesar,
Paul Demorest,
William Fiore,
Emmanuel Fonseca,
Joseph Gelfand,
Victoria Kaspi,
Vladislav Kondratiev,
Malcolm LaRose,
Joeri van Leeuwen,
Lina Levin,
Evan Lewis,
Ryan Lynch,
Alexander McEwen,
Hind Al Noori,
Emilie Parent,
Scott Ransom,
Mallory Roberts,
Ann Schmiedekamp
, et al. (5 additional authors not shown)
Abstract:
The Green Bank North Celestial Cap (GBNCC) survey is a 350-MHz all-sky survey for pulsars and fast radio transients using the Robert C. Byrd Green Bank Telescope. To date, the survey has discovered over 190 pulsars, including 33 millisecond pulsars (MSPs) and 24 rotating radio transients(RRATs). Several exotic pulsars have been discovered in the survey, including PSR J1759+5036, a binary pulsar wi…
▽ More
The Green Bank North Celestial Cap (GBNCC) survey is a 350-MHz all-sky survey for pulsars and fast radio transients using the Robert C. Byrd Green Bank Telescope. To date, the survey has discovered over 190 pulsars, including 33 millisecond pulsars (MSPs) and 24 rotating radio transients(RRATs). Several exotic pulsars have been discovered in the survey, including PSR J1759+5036, a binary pulsar with a 176-ms spin period in an orbit with a period of 2.04 days, an eccentricity of 0.3,and a projected semi-major axis of 6.8 light seconds. Using seven years of timing data, we are able to measure one post-Keplerian parameter, advance of periastron, which has allowed us to constrain the total system mass to 2.62(3) solar masses. This constraint, along with the spin period and orbital parameters, suggests that this is a double neutron star system, although we cannot entirely rule out a pulsar-white dwarf binary. This pulsar is only detectable in roughly 45% of observations, most likely due to scintillation. However, additional observations are required to determine whether there may be other contributing effects.
△ Less
Submitted 12 July, 2021; v1 submitted 19 February, 2021;
originally announced February 2021.
-
Secure Medical Image Analysis with CrypTFlow
Authors:
Javier Alvarez-Valle,
Pratik Bhatu,
Nishanth Chandran,
Divya Gupta,
Aditya Nori,
Aseem Rastogi,
Mayank Rathee,
Rahul Sharma,
Shubham Ugare
Abstract:
We present CRYPTFLOW, a system that converts TensorFlow inference code into Secure Multi-party Computation (MPC) protocols at the push of a button. To do this, we build two components. Our first component is an end-to-end compiler from TensorFlow to a variety of MPC protocols. The second component is an improved semi-honest 3-party protocol that provides significant speedups for inference. We empi…
▽ More
We present CRYPTFLOW, a system that converts TensorFlow inference code into Secure Multi-party Computation (MPC) protocols at the push of a button. To do this, we build two components. Our first component is an end-to-end compiler from TensorFlow to a variety of MPC protocols. The second component is an improved semi-honest 3-party protocol that provides significant speedups for inference. We empirically demonstrate the power of our system by showing the secure inference of real-world neural networks such as DENSENET121 for detection of lung diseases from chest X-ray images and 3D-UNet for segmentation in radiotherapy planning using CT images. In particular, this paper provides the first evaluation of secure segmentation of 3D images, a task that requires much more powerful models than classification and is the largest secure inference task run till date.
△ Less
Submitted 9 December, 2020;
originally announced December 2020.
-
Proximu$: Efficiently Scaling DNN Inference in Multi-core CPUs through Near-Cache Compute
Authors:
Anant V. Nori,
Rahul Bera,
Shankar Balachandran,
Joydeep Rakshit,
Om J. Omer,
Avishaii Abuhatzera,
Belliappa Kuttanna,
Sreenivas Subramoney
Abstract:
Deep Neural Network (DNN) inference is emerging as the fundamental bedrock for a multitude of utilities and services. CPUs continue to scale up their raw compute capabilities for DNN inference along with mature high performance libraries to extract optimal performance. While general purpose CPUs offer unique attractive advantages for DNN inference at both datacenter and edge, they have primarily e…
▽ More
Deep Neural Network (DNN) inference is emerging as the fundamental bedrock for a multitude of utilities and services. CPUs continue to scale up their raw compute capabilities for DNN inference along with mature high performance libraries to extract optimal performance. While general purpose CPUs offer unique attractive advantages for DNN inference at both datacenter and edge, they have primarily evolved to optimize single thread performance. For highly parallel, throughput-oriented DNN inference, this results in inefficiencies in both power and performance, impacting both raw performance scaling and overall performance/watt.
We present Proximu$\$$, where we systematically tackle the root inefficiencies in power and performance scaling for CPU DNN inference. Performance scales efficiently by distributing light-weight tensor compute near all caches in a multi-level cache hierarchy. This maximizes the cumulative utilization of the existing bandwidth resources in the system and minimizes movement of data. Power is drastically reduced through simple ISA extensions that encode the structured, loop-y workload behavior. This enables a bulk offload of pre-decoded work, with loop unrolling in the light-weight near-cache units, effectively bypassing the power-hungry stages of the wide Out-of-Order (OOO) CPU pipeline.
Across a number of DNN models, Proximu$\$$ achieves a 2.3x increase in convolution performance/watt with a 2x to 3.94x scaling in raw performance. Similarly, Proximu$\$$ achieves a 1.8x increase in inner-product performance/watt with 2.8x scaling in performance. With no changes to the programming model, no increase in cache capacity or bandwidth and minimal additional hardware, Proximu$\$$ enables unprecedented CPU efficiency gains while achieving similar performance to state-of-the-art Domain Specific Accelerators (DSA) for DNN inference in this AI era.
△ Less
Submitted 2 December, 2020; v1 submitted 23 November, 2020;
originally announced November 2020.
-
Towards Compliant Data Management Systems for Healthcare ML
Authors:
Goutham Ramakrishnan,
Aditya Nori,
Hannah Murfet,
Pashmina Cameron
Abstract:
The increasing popularity of machine learning approaches and the rising awareness of data protection and data privacy presents an opportunity to build truly secure and trustworthy healthcare systems. Regulations such as GDPR and HIPAA present broad guidelines and frameworks, but the implementation can present technical challenges. Compliant data management systems require enforcement of a number o…
▽ More
The increasing popularity of machine learning approaches and the rising awareness of data protection and data privacy presents an opportunity to build truly secure and trustworthy healthcare systems. Regulations such as GDPR and HIPAA present broad guidelines and frameworks, but the implementation can present technical challenges. Compliant data management systems require enforcement of a number of technical and administrative safeguards. While policies can be set for both safeguards there is limited availability to understand compliance in real time. Increasingly, machine learning practitioners are becoming aware of the importance of kee** track of sensitive data. With sensitivity over personally identifiable, health or commercially sensitive information there would be value in understanding assessment of the flow of data in a more dynamic fashion. We review how data flows within machine learning projects in healthcare from source to storage to use in training algorithms and beyond. Based on this, we design engineering specifications and solutions for versioning of data. Our objective is to design tools to detect and track sensitive data across machines and users across the life cycle of a project, prioritizing efficiency, consistency and ease of use. We build a prototype of the solution that demonstrates the difficulties in this domain. Together, these represent first efforts towards building a compliant data management system for healthcare machine learning projects.
△ Less
Submitted 15 November, 2020;
originally announced November 2020.
-
Natural Language Processing to Detect Cognitive Concerns in Electronic Health Records Using Deep Learning
Authors:
Zhuoqiao Hong,
Colin G. Magdamo,
Yi-han Sheu,
Prathamesh Mohite,
Ayush Noori,
Elissa M. Ye,
Wendong Ge,
Haoqi Sun,
Laura Brenner,
Gregory Robbins,
Shibani Mukerji,
Sahar Zafar,
Nicole Benson,
Lidia Moura,
John Hsu,
Bradley T. Hyman,
Michael B. Westover,
Deborah Blacker,
Sudeshna Das
Abstract:
Dementia is under-recognized in the community, under-diagnosed by healthcare professionals, and under-coded in claims data. Information on cognitive dysfunction, however, is often found in unstructured clinician notes within medical records but manual review by experts is time consuming and often prone to errors. Automated mining of these notes presents a potential opportunity to label patients wi…
▽ More
Dementia is under-recognized in the community, under-diagnosed by healthcare professionals, and under-coded in claims data. Information on cognitive dysfunction, however, is often found in unstructured clinician notes within medical records but manual review by experts is time consuming and often prone to errors. Automated mining of these notes presents a potential opportunity to label patients with cognitive concerns who could benefit from an evaluation or be referred to specialist care. In order to identify patients with cognitive concerns in electronic medical records, we applied natural language processing (NLP) algorithms and compared model performance to a baseline model that used structured diagnosis codes and medication data only. An attention-based deep learning model outperformed the baseline model and other simpler models.
△ Less
Submitted 12 November, 2020;
originally announced November 2020.
-
GenASM: A High-Performance, Low-Power Approximate String Matching Acceleration Framework for Genome Sequence Analysis
Authors:
Damla Senol Cali,
Gurpreet S. Kalsi,
Zülal Bingöl,
Can Firtina,
Lavanya Subramanian,
Jeremie S. Kim,
Rachata Ausavarungnirun,
Mohammed Alser,
Juan Gomez-Luna,
Amirali Boroumand,
Anant Nori,
Allison Scibisz,
Sreenivas Subramoney,
Can Alkan,
Saugata Ghose,
Onur Mutlu
Abstract:
Genome sequence analysis has enabled significant advancements in medical and scientific areas such as personalized medicine, outbreak tracing, and the understanding of evolution. Unfortunately, it is currently bottlenecked by the computational power and memory bandwidth limitations of existing systems, as many of the steps in genome sequence analysis must process a large amount of data. A major co…
▽ More
Genome sequence analysis has enabled significant advancements in medical and scientific areas such as personalized medicine, outbreak tracing, and the understanding of evolution. Unfortunately, it is currently bottlenecked by the computational power and memory bandwidth limitations of existing systems, as many of the steps in genome sequence analysis must process a large amount of data. A major contributor to this bottleneck is approximate string matching (ASM).
We propose GenASM, the first ASM acceleration framework for genome sequence analysis. We modify the underlying ASM algorithm (Bitap) to significantly increase its parallelism and reduce its memory footprint, and we design the first hardware accelerator for Bitap. Our hardware accelerator consists of specialized compute units and on-chip SRAMs that are designed to match the rate of computation with memory capacity and bandwidth.
We demonstrate that GenASM is a flexible, high-performance, and low-power framework, which provides significant performance and power benefits for three different use cases in genome sequence analysis: 1) GenASM accelerates read alignment for both long reads and short reads. For long reads, GenASM outperforms state-of-the-art software and hardware accelerators by 116x and 3.9x, respectively, while consuming 37x and 2.7x less power. For short reads, GenASM outperforms state-of-the-art software and hardware accelerators by 111x and 1.9x. 2) GenASM accelerates pre-alignment filtering for short reads, with 3.7x the performance of a state-of-the-art pre-alignment filter, while consuming 1.7x less power and significantly improving the filtering accuracy. 3) GenASM accelerates edit distance calculation, with 22-12501x and 9.3-400x speedups over the state-of-the-art software library and FPGA-based accelerator, respectively, while consuming 548-582x and 67x less power.
△ Less
Submitted 16 September, 2020;
originally announced September 2020.
-
First Discovery of a Fast Radio Burst at 350 MHz by the GBNCC Survey
Authors:
E. Parent,
P. Chawla,
V. M. Kaspi,
G. Y. Agazie,
H. Blumer,
M. DeCesar,
W. Fiore,
E. Fonseca,
J. W. T. Hessels,
D. L. Kaplan,
V. I. Kondratiev,
M. LaRose,
L. Levin,
E. F. Lewis,
R. S. Lynch,
A. E. McEwen,
M. A. McLaughlin,
M. Mingyar,
H. Al Noori,
S. M. Ransom,
M. S. E. Roberts,
A. Schmiedekamp,
C. Schmiedekamp,
X. Siemens,
R. Spiewak
, et al. (4 additional authors not shown)
Abstract:
We report the first discovery of a fast radio burst (FRB), FRB 20200125A, by the Green Bank Northern Celestial Cap (GBNCC) Pulsar Survey conducted with the Green Bank Telescope at 350 MHz. FRB 20200125A was detected at a Galactic latitude of 58.43 degrees with a dispersion measure of 179 pc cm$^{-3}$, while electron density models predict a maximum Galactic contribution of 25 pc cm$^{-3}$ along th…
▽ More
We report the first discovery of a fast radio burst (FRB), FRB 20200125A, by the Green Bank Northern Celestial Cap (GBNCC) Pulsar Survey conducted with the Green Bank Telescope at 350 MHz. FRB 20200125A was detected at a Galactic latitude of 58.43 degrees with a dispersion measure of 179 pc cm$^{-3}$, while electron density models predict a maximum Galactic contribution of 25 pc cm$^{-3}$ along this line of sight. Moreover, no apparent Galactic foreground sources of ionized gas that could account for the excess DM are visible in multi-wavelength surveys of this region. This argues that the source is extragalactic. The maximum redshift for the host galaxy is $z_{max}=0.17$, corresponding to a maximum comoving distance of approximately 750 Mpc. The measured peak flux density for FRB 20200125A is 0.37 Jy, and we measure a pulse width of 3.7 ms, consistent with the distribution of FRB widths observed at higher frequencies. Based on this detection and assuming an Euclidean flux density distribution of FRBs, we calculate an all-sky rate at 350 MHz of $3.4^{+15.4}_{-3.3} \times 10^3$ FRBs sky$^{-1}$ day$^{-1}$ above a peak flux density of 0.42 Jy for an unscattered pulse having an intrinsic width of 5 ms, consistent with rates reported at higher frequencies. Given the recent improvements in our single-pulse search pipeline, we also revisit the GBNCC survey sensitivity to various burst properties. Finally, we find no evidence of interstellar scattering in FRB 20200125A, adding to the growing evidence that some FRBs have circumburst environments where free-free absorption and scattering are not significant.
△ Less
Submitted 10 August, 2020;
originally announced August 2020.
-
An Accreting, Anomalously Low Mass Black Hole at the Center of Low Mass Galaxy IC 750
Authors:
Ingyin Zaw,
Michael J. Rosenthal,
Ivan Yu. Katkov,
Joseph D. Gelfand,
Yan-** Chen,
Lincoln Greenhill,
Walter Brisken,
Hind Al Noori
Abstract:
We present a multi-wavelength study of the active galactic nucleus in the nearby ($D=14.1$ Mpc) low mass galaxy IC 750, which has circumnuclear 22 GHz water maser emission. The masers trace a nearly edge-on, warped disk $\sim$0.2 pc in diameter, coincident with the compact nuclear X-ray source which lies at the base of the $\sim$kpc-scale extended X-ray emission. The position-velocity structure of…
▽ More
We present a multi-wavelength study of the active galactic nucleus in the nearby ($D=14.1$ Mpc) low mass galaxy IC 750, which has circumnuclear 22 GHz water maser emission. The masers trace a nearly edge-on, warped disk $\sim$0.2 pc in diameter, coincident with the compact nuclear X-ray source which lies at the base of the $\sim$kpc-scale extended X-ray emission. The position-velocity structure of the maser emission indicates the central black hole (BH) has a mass less than $1.4 \times 10^5~M_\odot$. Keplerian rotation curves fitted to these data yield enclosed masses between $4.1 \times 10^4~M_\odot$ and $1.4 \times 10^5~M_\odot$, with a mode of $7.2 \times 10^4~M_\odot$. Fitting the optical spectrum, we measure a nuclear stellar velocity dispersion $σ_* = 110.7^{+12.1}_{-13.4}$~{\rm km~s}$^{-1}.$ From near-infrared photometry, we fit a bulge mass of $(7.3 \pm 2.7) \times 10^8~M_\odot$ and a stellar mass of $1.4 \times 10^{10}~M_\odot$. The mass upper limit of the intermediate mass black hole in IC 750 falls roughly two orders of magnitude below the $M_{\rm BH}-σ_*$ relation and roughly one order of magnitude below the $M_{\rm BH}-M_{\rm Bulge}$ and $M_{\rm BH}-M_*$ relations -- larger than the relations' intrinsic scatters of (0.58 $\pm$ 0.09) dex, 0.69 dex, and (0.65 $\pm$ 0.09) dex, respectively. These offsets could be due to larger scatter at the low mass end of these relations. Alternatively, black hole growth is intrinsically inefficient in galaxies with low bulge and/or stellar masses, which causes the black holes to be under-massive relative to their hosts, as predicted by some galaxy evolution simulations.
△ Less
Submitted 1 June, 2020;
originally announced June 2020.
-
DSPatch: Dual Spatial Pattern Prefetcher
Authors:
Rahul Bera,
Anant V. Nori,
Onur Mutlu,
Sreenivas Subramoney
Abstract:
High main memory latency continues to limit performance of modern high-performance out-of-order cores. While DRAM latency has remained nearly the same over many generations, DRAM bandwidth has grown significantly due to higher frequencies, newer architectures (DDR4, LPDDR4, GDDR5) and 3D-stacked memory packaging (HBM). Current state-of-the-art prefetchers do not do well in extracting higher perfor…
▽ More
High main memory latency continues to limit performance of modern high-performance out-of-order cores. While DRAM latency has remained nearly the same over many generations, DRAM bandwidth has grown significantly due to higher frequencies, newer architectures (DDR4, LPDDR4, GDDR5) and 3D-stacked memory packaging (HBM). Current state-of-the-art prefetchers do not do well in extracting higher performance when higher DRAM bandwidth is available. Prefetchers need the ability to dynamically adapt to available bandwidth, boosting prefetch count and prefetch coverage when headroom exists and throttling down to achieve high accuracy when the bandwidth utilization is close to peak. To this end, we present the Dual Spatial Pattern Prefetcher (DSPatch) that can be used as a standalone prefetcher or as a lightweight adjunct spatial prefetcher to the state-of-the-art delta-based Signature Pattern Prefetcher (SPP). DSPatch builds on a novel and intuitive use of modulated spatial bit-patterns. The key idea is to: (1) represent program accesses on a physical page as a bit-pattern anchored to the first "trigger" access, (2) learn two spatial access bit-patterns: one biased towards coverage and another biased towards accuracy, and (3) select one bit-pattern at run-time based on the DRAM bandwidth utilization to generate prefetches. Across a diverse set of workloads, using only 3.6KB of storage, DSPatch improves performance over an aggressive baseline with a PC-based stride prefetcher at the L1 cache and the SPP prefetcher at the L2 cache by 6% (9% in memory-intensive workloads and up to 26%). Moreover, the performance of DSPatch+SPP scales with increasing DRAM bandwidth, growing from 6% over SPP to 10% when DRAM bandwidth is doubled.
△ Less
Submitted 7 October, 2019;
originally announced October 2019.
-
Alleviating Privacy Attacks via Causal Learning
Authors:
Shruti Tople,
Amit Sharma,
Aditya Nori
Abstract:
Machine learning models, especially deep neural networks have been shown to be susceptible to privacy attacks such as membership inference where an adversary can detect whether a data point was used for training a black-box model. Such privacy risks are exacerbated when a model's predictions are used on an unseen data distribution. To alleviate privacy attacks, we demonstrate the benefit of predic…
▽ More
Machine learning models, especially deep neural networks have been shown to be susceptible to privacy attacks such as membership inference where an adversary can detect whether a data point was used for training a black-box model. Such privacy risks are exacerbated when a model's predictions are used on an unseen data distribution. To alleviate privacy attacks, we demonstrate the benefit of predictive models that are based on the causal relationships between input features and the outcome. We first show that models learnt using causal structure generalize better to unseen data, especially on data from different distributions than the train distribution. Based on this generalization property, we establish a theoretical link between causality and privacy: compared to associational models, causal models provide stronger differential privacy guarantees and are more robust to membership inference attacks. Experiments on simulated Bayesian networks and the colored-MNIST dataset show that associational models exhibit upto 80% attack accuracy under different test distributions and sample sizes whereas causal models exhibit attack accuracy close to a random guess.
△ Less
Submitted 17 July, 2020; v1 submitted 27 September, 2019;
originally announced September 2019.
-
Overfitting in Synthesis: Theory and Practice (Extended Version)
Authors:
Saswat Padhi,
Todd Millstein,
Aditya Nori,
Rahul Sharma
Abstract:
In syntax-guided synthesis (SyGuS), a synthesizer's goal is to automatically generate a program belonging to a grammar of possible implementations that meets a logical specification. We investigate a common limitation across state-of-the-art SyGuS tools that perform counterexample-guided inductive synthesis (CEGIS). We empirically observe that as the expressiveness of the provided grammar increase…
▽ More
In syntax-guided synthesis (SyGuS), a synthesizer's goal is to automatically generate a program belonging to a grammar of possible implementations that meets a logical specification. We investigate a common limitation across state-of-the-art SyGuS tools that perform counterexample-guided inductive synthesis (CEGIS). We empirically observe that as the expressiveness of the provided grammar increases, the performance of these tools degrades significantly.
We claim that this degradation is not only due to a larger search space, but also due to overfitting. We formally define this phenomenon and prove no-free-lunch theorems for SyGuS, which reveal a fundamental tradeoff between synthesizer performance and grammar expressiveness.
A standard approach to mitigate overfitting in machine learning is to run multiple learners with varying expressiveness in parallel. We demonstrate that this insight can immediately benefit existing SyGuS tools. We also propose a novel single-threaded technique called hybrid enumeration that interleaves different grammars and outperforms the winner of the 2018 SyGuS competition (Inv track), solving more problems and achieving a $5\times$ mean speedup.
△ Less
Submitted 7 June, 2019; v1 submitted 17 May, 2019;
originally announced May 2019.
-
The Green Bank North Celestial Cap Pulsar Survey. IV: Four New Timing Solutions
Authors:
R. J. Aloisi,
A. Cruz,
L. Daniels,
N. Meyers,
R. Roekle,
A. Schuett,
J. K. Swiggum,
M. E. DeCesar,
D. L. Kaplan,
R. S. Lynch,
K. Stovall,
Lina Levin,
A. M. Archibald,
S. Banaszak,
C. M. Biwer,
J. Boyles,
P. Chawla,
L. P. Dartez,
B. Cui,
D. F. Day,
A. J. Ford,
J. Flanigan,
E. Fonseca,
J. W. T. Hessels,
J. Hinojosa
, et al. (18 additional authors not shown)
Abstract:
We present timing solutions for four pulsars discovered in the Green Bank Northern Celestial Cap (GBNCC) survey. All four pulsars are isolated with spin periods between 0.26$\,$s and 1.84$\,$s. PSR J0038$-$2501 has a 0.26$\,$s period and a period derivative of ${7.6} \times {10}^{-19}\,{\rm s\,s}^{-1}$, which is unusually low for isolated pulsars with similar periods. This low period derivative ma…
▽ More
We present timing solutions for four pulsars discovered in the Green Bank Northern Celestial Cap (GBNCC) survey. All four pulsars are isolated with spin periods between 0.26$\,$s and 1.84$\,$s. PSR J0038$-$2501 has a 0.26$\,$s period and a period derivative of ${7.6} \times {10}^{-19}\,{\rm s\,s}^{-1}$, which is unusually low for isolated pulsars with similar periods. This low period derivative may be simply an extreme value for an isolated pulsar or it could indicate an unusual evolution path for PSR J0038$-$2501, such as a disrupted recycled pulsar (DRP) from a binary system or an orphaned central compact object (CCO). Correcting the observed spin-down rate for the Shklovskii effect suggests that this pulsar may have an unusually low space velocity, which is consistent with expectations for DRPs. There is no X-ray emission detected from PSR J0038$-$2501 in an archival swift observation, which suggests that it is not a young orphaned CCO. The high dispersion measure of PSR J1949+3426 suggests a distance of 12.3$\,$kpc. This distance indicates that PSR J1949+3426 is among the most distant 7% of Galactic field pulsars, and is one of the most luminous pulsars.
△ Less
Submitted 8 March, 2019;
originally announced March 2019.
-
Robustness of Neural Networks: A Probabilistic and Practical Approach
Authors:
Ravi Mangal,
Aditya V. Nori,
Alessandro Orso
Abstract:
Neural networks are becoming increasingly prevalent in software, and it is therefore important to be able to verify their behavior. Because verifying the correctness of neural networks is extremely challenging, it is common to focus on the verification of other properties of these systems. One important property, in particular, is robustness. Most existing definitions of robustness, however, focus…
▽ More
Neural networks are becoming increasingly prevalent in software, and it is therefore important to be able to verify their behavior. Because verifying the correctness of neural networks is extremely challenging, it is common to focus on the verification of other properties of these systems. One important property, in particular, is robustness. Most existing definitions of robustness, however, focus on the worst-case scenario where the inputs are adversarial. Such notions of robustness are too strong, and unlikely to be satisfied by-and verifiable for-practical neural networks. Observing that real-world inputs to neural networks are drawn from non-adversarial probability distributions, we propose a novel notion of robustness: probabilistic robustness, which requires the neural network to be robust with at least $(1 - ε)$ probability with respect to the input distribution. This probabilistic approach is practical and provides a principled way of estimating the robustness of a neural network. We also present an algorithm, based on abstract interpretation and importance sampling, for checking whether a neural network is probabilistically robust. Our algorithm uses abstract interpretation to approximate the behavior of a neural network and compute an overapproximation of the input regions that violate robustness. It then uses importance sampling to counter the effect of such overapproximation and compute an accurate estimate of the probability that the neural network violates the robustness property.
△ Less
Submitted 15 February, 2019;
originally announced February 2019.
-
Quantifying inhomogeneities in the HI distributions of simulated galaxies
Authors:
Hind Al Noori,
Andrea V. Macciò,
Aaron A. Dutton,
Keri L. Dixon
Abstract:
The NIHAO cosmological simulations form a collection of a hundred high-resolution galaxies. We used these simulations to test the impact of stellar feedback on the morphology of the HI distribution in galaxies. We ran a subsample of twenty of the galaxies with different parameterizations of stellar feedback, looking for differences in the HI spatial distribution and morphology. We found that diffe…
▽ More
The NIHAO cosmological simulations form a collection of a hundred high-resolution galaxies. We used these simulations to test the impact of stellar feedback on the morphology of the HI distribution in galaxies. We ran a subsample of twenty of the galaxies with different parameterizations of stellar feedback, looking for differences in the HI spatial distribution and morphology. We found that different feedback models do leave a signature in HI, and can potentially be compared with current and future observations. These findings can help inform future modeling efforts in the parameterization of stellar feedback in cosmological simulations of galaxy formation and evolution.
△ Less
Submitted 13 November, 2018;
originally announced November 2018.
-
A wildly flickering jet in the black hole X-ray binary MAXI J1535-571
Authors:
M. C. Baglio,
D. M. Russell,
P. Casella,
H. Al Noori,
A. Al Yazeedi,
T. Belloni,
D. A. H. Buckley,
M. Cadolle Bel,
C. Ceccobello,
S. Corbel,
F. Coti Zelati,
M. Diaz Trigo,
R. P. Fender,
E. Gallo,
P. Gandhi,
J. Homan,
K. I. I. koljonen,
F. lewis,
T. J. Maccarone,
J. Malzac,
S. Markoff,
J. C. A. Miller-Jones,
K. O'Brien,
T. D. Russell,
P. Saikia
, et al. (7 additional authors not shown)
Abstract:
We report on the results of optical, near-infrared (NIR) and mid-infrared observations of the black hole X-ray binary candidate (BHB) MAXI J1535-571 during its 2017/2018 outburst. During the first part of the outburst (MJD 58004-58012), the source shows an optical-NIR spectrum that is consistent with an optically thin synchrotron power-law from a jet. After MJD 58015, however, the source faded con…
▽ More
We report on the results of optical, near-infrared (NIR) and mid-infrared observations of the black hole X-ray binary candidate (BHB) MAXI J1535-571 during its 2017/2018 outburst. During the first part of the outburst (MJD 58004-58012), the source shows an optical-NIR spectrum that is consistent with an optically thin synchrotron power-law from a jet. After MJD 58015, however, the source faded considerably, the drop in flux being much more evident at lower frequencies. Before the fading, we measure a de-reddened flux density of $\gtrsim$100 mJy in the mid-infrared, making MAXI J1535-571 one of the brightest mid-infrared BHBs known so far. A significant softening of the X-ray spectrum is evident contemporaneous with the infrared fade. We interpret it as due to the suppression of the jet emission, similar to the accretion-ejection coupling seen in other BHBs. However, MAXI J1535-571 did not transition smoothly to the soft state, instead showing X-ray hardness deviations, associated with infrared flaring. We also present the first mid-IR variability study of a BHB on minute timescales, with a fractional rms variability of the light curves of $\sim 15-22 \%$, which is similar to that expected from the internal shock jet model, and much higher than the optical fractional rms ($\lesssim 7 \%$). These results represent an excellent case of multi-wavelength jet spectral-timing and demonstrate how rich, multi-wavelength time-resolved data of X-ray binaries over accretion state transitions can help refining models of the disk-jet connection and jet launching in these systems.
△ Less
Submitted 30 September, 2018; v1 submitted 23 July, 2018;
originally announced July 2018.
-
Adaptive Neural Trees
Authors:
Ryutaro Tanno,
Kai Arulkumaran,
Daniel C. Alexander,
Antonio Criminisi,
Aditya Nori
Abstract:
Deep neural networks and decision trees operate on largely separate paradigms; typically, the former performs representation learning with pre-specified architectures, while the latter is characterised by learning hierarchies over pre-specified features with data-driven architectures. We unite the two via adaptive neural trees (ANTs) that incorporates representation learning into edges, routing fu…
▽ More
Deep neural networks and decision trees operate on largely separate paradigms; typically, the former performs representation learning with pre-specified architectures, while the latter is characterised by learning hierarchies over pre-specified features with data-driven architectures. We unite the two via adaptive neural trees (ANTs) that incorporates representation learning into edges, routing functions and leaf nodes of a decision tree, along with a backpropagation-based training algorithm that adaptively grows the architecture from primitive modules (e.g., convolutional layers). We demonstrate that, whilst achieving competitive performance on classification and regression datasets, ANTs benefit from (i) lightweight inference via conditional computation, (ii) hierarchical separation of features useful to the task e.g. learning meaningful class associations, such as separating natural vs. man-made objects, and (iii) a mechanism to adapt the architecture to the size and complexity of the training dataset.
△ Less
Submitted 9 June, 2019; v1 submitted 17 July, 2018;
originally announced July 2018.