Skip to main content

Showing 1–5 of 5 results for author: Mantovani, P

.
  1. arXiv:2206.01901  [pdf, other

    cs.AR

    Enabling Heterogeneous, Multicore SoC Research with RISC-V and ESP

    Authors: Joseph Zuckerman, Paolo Mantovani, Davide Giri, Luca P. Carloni

    Abstract: Heterogeneous, multicore SoC architectures are a critical component of today's computing landscape. However, supporting both increasing heterogeneity and multicore execution are significant design challenges. Meanwhile, the growing RISC-V and open-source hardware (OSH) movements have resulted in an increased number of open-source RISC-V processor implementations; however, there are fewer open sour… ▽ More

    Submitted 4 June, 2022; originally announced June 2022.

    Comments: To appear in the Sixth Workshop on Computer Architecture Research with RISC-V (CARRV 2022)

  2. Cohmeleon: Learning-Based Orchestration of Accelerator Coherence in Heterogeneous SoCs

    Authors: Joseph Zuckerman, Davide Giri, Jihye Kwon, Paolo Mantovani, Luca P. Carloni

    Abstract: One of the most critical aspects of integrating loosely-coupled accelerators in heterogeneous SoC architectures is orchestrating their interactions with the memory hierarchy, especially in terms of navigating the various cache-coherence options: from accelerators accessing off-chip memory directly, bypassing the cache hierarchy, to accelerators having their own private cache. By running real-size… ▽ More

    Submitted 13 September, 2021; originally announced September 2021.

    Comments: To appear in the 54th IEEE/ACM Symposium on Microarchitecture (MICRO 2021)

  3. Agile SoC Development with Open ESP

    Authors: Paolo Mantovani, Davide Giri, Giuseppe Di Guglielmo, Luca Piccolboni, Joseph Zuckerman, Emilio G. Cota, Michele Petracca, Christian Pilato, Luca P. Carloni

    Abstract: ESP is an open-source research platform for heterogeneous SoC design. The platform combines a modular tile-based architecture with a variety of application-oriented flows for the design and optimization of accelerators. The ESP architecture is highly scalable and strikes a balance between regularity and specialization. The companion methodology raises the level of abstraction to system-level desig… ▽ More

    Submitted 2 September, 2020; originally announced September 2020.

    Comments: Invited Paper at the 2020 International Conference On Computer Aided Design (ICCAD) - Special Session on Opensource Tools and Platforms for Agile Development of Specialized Architectures

  4. ESP4ML: Platform-Based Design of Systems-on-Chip for Embedded Machine Learning

    Authors: Davide Giri, Kuan-Lin Chiu, Giuseppe Di Guglielmo, Paolo Mantovani, Luca P. Carloni

    Abstract: We present ESP4ML, an open-source system-level design flow to build and program SoC architectures for embedded applications that require the hardware acceleration of machine learning and signal processing algorithms. We realized ESP4ML by combining two established open-source projects (ESP and HLS4ML) into a new, fully-automated design flow. For the SoC integration of accelerators generated by HLS… ▽ More

    Submitted 18 June, 2020; v1 submitted 7 April, 2020; originally announced April 2020.

    Comments: Paper published in the proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition (DATE)

    Journal ref: Design, Automation and Test in Europe Conference & Exhibition (DATE), Grenoble, France, 2020, pp. 1049-1054

  5. COSMOS: Coordination of High-Level Synthesis and Memory Optimization for Hardware Accelerators

    Authors: Luca Piccolboni, Paolo Mantovani, Giuseppe Di Guglielmo, Luca P. Carloni

    Abstract: Hardware accelerators are key to the efficiency and performance of system-on-chip (SoC) architectures. With high-level synthesis (HLS), designers can easily obtain several performance-cost trade-off implementations for each component of a complex hardware accelerator. However, navigating this design space in search of the Pareto-optimal implementations at the system level is a hard optimization ta… ▽ More

    Submitted 18 December, 2019; originally announced December 2019.

    Comments: Published in ACM Transactions on Embedded Computing Systems (TECS)

    Journal ref: ACM Trans. Embed. Comput. Syst. 16, 5s, Article 150 (October 2017)