Skip to main content

Showing 1–12 of 12 results for author: Kämpfe, T

.
  1. arXiv:2401.05708  [pdf, other

    cs.ET

    FeReX: A Reconfigurable Design of Multi-bit Ferroelectric Compute-in-Memory for Nearest Neighbor Search

    Authors: Zhicheng Xu, Che-Kai Liu, Chao Li, Ruibin Mao, Jianyi Yang, Thomas Kämpfe, Mohsen Imani, Can Li, Cheng Zhuo, Xunzhao Yin

    Abstract: Rapid advancements in artificial intelligence have given rise to transformative models, profoundly impacting our lives. These models demand massive volumes of data to operate effectively, exacerbating the data-transfer bottleneck inherent in the conventional von-Neumann architecture. Compute-in-memory (CIM), a novel computing paradigm, tackles these issues by seamlessly embedding in-memory search… ▽ More

    Submitted 11 January, 2024; originally announced January 2024.

    Comments: 6 pages, 8 figures, 3 tables. Accepted by Design Automation and Test in Europe (DATE) 2024

  2. arXiv:2312.17444  [pdf, other

    cs.ET eess.SP

    Reconfigurable Frequency Multipliers Based on Complementary Ferroelectric Transistors

    Authors: Haotian Xu, Jianyi Yang, Cheng Zhuo, Thomas Kämpfe, Kai Ni, Xunzhao Yin

    Abstract: Frequency multipliers, a class of essential electronic components, play a pivotal role in contemporary signal processing and communication systems. They serve as crucial building blocks for generating high-frequency signals by multiplying the frequency of an input signal. However, traditional frequency multipliers that rely on nonlinear devices often require energy- and area-consuming filtering an… ▽ More

    Submitted 28 December, 2023; originally announced December 2023.

    Comments: 6 pages, 8 figures, 1 table. Accepted by Design Automation and Test in Europe (DATE) 2024

  3. arXiv:2309.13853  [pdf, other

    cs.ET

    A Ferroelectric Compute-in-Memory Annealer for Combinatorial Optimization Problems

    Authors: Xunzhao Yin, Yu Qian, Alptekin Vardar, Marcel Gunther, Franz Muller, Nellie Laleni, Zijian Zhao, Zhouhang Jiang, Zhiguo Shi, Yiyu Shi, Xiao Gong, Cheng Zhuo, Thomas Kampfe, Kai Ni

    Abstract: Computationally hard combinatorial optimization problems (COPs) are ubiquitous in many applications, including logistical planning, resource allocation, chip design, drug explorations, and more. Due to their critical significance and the inability of conventional hardware in efficiently handling scaled COPs, there is a growing interest in develo** computing hardware tailored specifically for COP… ▽ More

    Submitted 24 September, 2023; originally announced September 2023.

    Comments: 39 pages, 12 figures

  4. arXiv:2306.01863  [pdf, other

    cs.ET

    Embedding Security into Ferroelectric FET Array via In-Situ Memory Operation

    Authors: Yixin Xu, Yi Xiao, Zijian Zhao, Franz Müller, Alptekin Vardar, Xiao Gong, Sumitha George, Thomas Kämpfe, Vijaykrishnan Narayanan, Kai Ni

    Abstract: Non-volatile memories (NVMs) have the potential to reshape next-generation memory systems because of their promising properties of near-zero leakage power consumption, high density and non-volatility. However, NVMs also face critical security threats that exploit the non-volatile property. Compared to volatile memory, the capability of retaining data even after power down makes NVM more vulnerable… ▽ More

    Submitted 2 June, 2023; originally announced June 2023.

  5. arXiv:2209.11971  [pdf, other

    cs.ET eess.SP

    A Homogeneous Processing Fabric for Matrix-Vector Multiplication and Associative Search Using Ferroelectric Time-Domain Compute-in-Memory

    Authors: Xunzhao Yin, Qingrong Huang, Franz Müller, Shan Deng, Alptekin Vardar, Sourav De, Zhouhang Jiang, Mohsen Imani, Cheng Zhuo, Thomas Kämpfe, Kai Ni

    Abstract: In this work, we propose a ferroelectric FET(FeFET) time-domain compute-in-memory (TD-CiM) array as a homogeneous processing fabric for binary multiplication-accumulation (MAC) and content addressable memory (CAM). We demonstrate that: i) the XOR(XNOR)/AND logic function can be realized using a single cell composed of 2FeFETs connected in series; ii) a two-phase computation in an inverter chain wi… ▽ More

    Submitted 24 September, 2022; originally announced September 2022.

    Comments: 8 pages, 8 figures

  6. arXiv:2208.14678  [pdf

    cs.ET

    Ferroelectric FET-based strong physical unclonable function: a low-power, high-reliable and reconfigurable solution for Internet-of-Things security

    Authors: Xinrui Guo, Xiaoyang Ma, Franz Muller, Kai Ni, Thomas Kampfe, Yongpan Liu, Vijaykrishnan Narayanan, Xueqing Li

    Abstract: Hardware security has been a key concern in modern information technologies. Especially, as the number of Internet-of-Things (IoT) devices grows rapidly, to protect the device security with low-cost security primitives becomes essential, among which Physical Unclonable Function (PUF) is a widely-used solution. In this paper, we propose the first FeFET-based strong PUF exploiting the cycle-to-cycle… ▽ More

    Submitted 31 August, 2022; originally announced August 2022.

  7. arXiv:2203.07948  [pdf, other

    cs.ET eess.SP

    An Ultra-Compact Single FeFET Binary and Multi-Bit Associative Search Engine

    Authors: Xunzhao Yin, Franz Müller, Qingrong Huang, Chao Li, Mohsen Imani, Zeyu Yang, Jiahao Cai, Maximilian Lederer, Ricardo Olivo, Nellie Laleni, Shan Deng, Zijian Zhao, Cheng Zhuo, Thomas Kämpfe, Kai Ni

    Abstract: Content addressable memory (CAM) is widely used in associative search tasks for its highly parallel pattern matching capability. To accommodate the increasingly complex and data-intensive pattern matching tasks, it is critical to keep improving the CAM density to enhance the performance and area efficiency. In this work, we demonstrate: i) a novel ultra-compact 1FeFET CAM design that enables paral… ▽ More

    Submitted 15 March, 2022; originally announced March 2022.

    Comments: 20 pages, 14 figures

  8. arXiv:2110.02495  [pdf, other

    cs.ET eess.SP

    Deep Random Forest with Ferroelectric Analog Content Addressable Memory

    Authors: Xunzhao Yin, Franz Müller, Ann Franchesca Laguna, Chao Li, Wenwen Ye, Qingrong Huang, Qinming Zhang, Zhiguo Shi, Maximilian Lederer, Nellie Laleni, Shan Deng, Zijian Zhao, Michael Niemier, Xiaobo Sharon Hu, Cheng Zhuo, Thomas Kämpfe, Kai Ni

    Abstract: Deep random forest (DRF), which incorporates the core features of deep learning and random forest (RF), exhibits comparable classification accuracy, interpretability, and low memory and computational overhead when compared with deep neural networks (DNNs) in various information processing tasks for edge intelligence. However, the development of efficient hardware to accelerate DRF is lagging behin… ▽ More

    Submitted 6 October, 2021; originally announced October 2021.

    Comments: 44 pages, 16 figures

  9. arXiv:2103.03111  [pdf

    cs.LG cs.ET physics.app-ph

    Alleviation of Temperature Variation Induced Accuracy Degradation in Ferroelectric FinFET Based Neural Network

    Authors: Sourav De, Hoang-Hiep Le, Md. Aftab Baig, Yao-Jen Lee, Darsen D. Lu, Thomas Kämpfe

    Abstract: This paper reports the impacts of temperature variation on the inference accuracy of pre-trained all-ferroelectric FinFET deep neural networks, along with plausible design techniques to abate these impacts. We adopted a pre-trained artificial neural network (N.N.) with 96.4% inference accuracy on the MNIST dataset as the baseline. As an aftermath of temperature change, a compact model captured the… ▽ More

    Submitted 15 August, 2022; v1 submitted 3 March, 2021; originally announced March 2021.

  10. arXiv:2011.07095  [pdf, other

    cs.ET cs.LG

    In-Memory Nearest Neighbor Search with FeFET Multi-Bit Content-Addressable Memories

    Authors: Arman Kazemi, Mohammad Mehdi Sharifi, Ann Franchesca Laguna, Franz Müller, Ramin Rajaei, Ricardo Olivo, Thomas Kämpfe, Michael Niemier, X. Sharon Hu

    Abstract: Nearest neighbor (NN) search is an essential operation in many applications, such as one/few-shot learning and image classification. As such, fast and low-energy hardware support for accurate NN search is highly desirable. Ternary content-addressable memories (TCAMs) have been proposed to accelerate NN search for few-shot learning tasks by implementing $L_\infty$ and Hamming distance metrics, but… ▽ More

    Submitted 13 November, 2020; originally announced November 2020.

    Comments: To be published in DATE'21

  11. arXiv:1712.08361  [pdf, other

    physics.optics physics.comp-ph

    Direct S-matrix calculation for diffractive structures and metasurfaces

    Authors: Alexey A. Shcherbakov, Yury V. Stebunov, Denis F. Baidin, Thomas Kampfe, Yves Jourlin

    Abstract: The paper presents a derivation of analytical components of S-matrices for arbitrary planar diffractive structures and metasurfaces in the Fourier domain. Attained general formulas for S-matrix components can be applied within both formulations in the Cartesian and curvilinear metric. A numerical method based on these results can benefit from all previous improvements of the Fourier domain methods… ▽ More

    Submitted 25 April, 2018; v1 submitted 22 December, 2017; originally announced December 2017.

    Comments: 21 pages, 5 figures

    Journal ref: Phys. Rev. E 97, 063301 (2018)

  12. arXiv:1705.00711  [pdf, other

    cond-mat.mtrl-sci

    Measurement of Surface Acoustic Wave Resonances in Ferroelectric Domains by Microwave Microscopy

    Authors: Scott R. Johnston, Yongliang Yang, Yong-Tao Cui, Eric Yue Ma, Thomas Kämpfe, Lukas M. Eng, Jian Zhou, Yan-Feng Chen, Minghui Lu, Zhi-Xun Shen

    Abstract: Surface Acoustic Wave (SAW) resonances were imaged within a closed domain in the ferroelectric LiTaO$_3$ via scanning Microwave Impedance Microscopy (MIM). The MIM probe is used for both SAW generation and measurement, allowing contact-less measurement within a mesoscopic structure. Measurements taken over a range of microwave frequencies are consistent with a constant acoustic velocity, demonstra… ▽ More

    Submitted 1 May, 2017; originally announced May 2017.

    Comments: 5 pages, 3 figures

    Journal ref: Journal of Applied Physics 122, 074101 (2017)