Skip to main content

Showing 51–100 of 124 results for author: Chong, T

.
  1. arXiv:2203.03084  [pdf, other

    quant-ph physics.atom-ph

    Preparation of Metrological States in Dipolar-Interacting Spin Systems

    Authors: Tian-Xing Zheng, Anran Li, Jude Rosen, Sisi Zhou, Martin Koppenhöfer, Ziqi Ma, Frederic T. Chong, Aashish A. Clerk, Liang Jiang, Peter C. Maurer

    Abstract: Spin systems are an attractive candidate for quantum-enhanced metrology. Here we develop a variational method to generate metrological states in small dipolar-interacting ensembles with limited qubit controls and unknown spin locations. The generated states enable sensing beyond the standard quantum limit (SQL) and approaching the Heisenberg limit (HL). Depending on the circuit depth and the level… ▽ More

    Submitted 6 March, 2022; originally announced March 2022.

    Comments: 6 pages, 4 figures, 1 table in main text. 21 pages, 8 figures, 3 tables in supplemental material

    Journal ref: npj Quantum Information (2022) 8:150

  2. arXiv:2202.13600  [pdf, other

    quant-ph

    Summary: Chicago Quantum Exchange (CQE) Pulse-level Quantum Control Workshop

    Authors: Kaitlin N. Smith, Gokul Subramanian Ravi, Thomas Alexander, Nicholas T. Bronn, Andre Carvalho, Alba Cervera-Lierta, Frederic T. Chong, Jerry M. Chow, Michael Cubeddu, Akel Hashim, Liang Jiang, Olivia Lanes, Matthew J. Otten, David I. Schuster, Pranav Gokhale, Nathan Earnest, Alexey Galda

    Abstract: Quantum information processing holds great promise for pushing beyond the current frontiers in computing. Specifically, quantum computation promises to accelerate the solving of certain problems, and there are many opportunities for innovation based on applications in chemistry, engineering, and finance. To harness the full potential of quantum computing, however, we must not only place emphasis o… ▽ More

    Submitted 28 February, 2022; originally announced February 2022.

  3. arXiv:2202.12924  [pdf, other

    quant-ph cs.AR

    CAFQA: A classical simulation bootstrap for variational quantum algorithms

    Authors: Gokul Subramanian Ravi, Pranav Gokhale, Yi Ding, William M. Kirby, Kaitlin N. Smith, Jonathan M. Baker, Peter J. Love, Henry Hoffmann, Kenneth R. Brown, Frederic T. Chong

    Abstract: This work tackles the problem of finding a good ansatz initialization for Variational Quantum Algorithms (VQAs), by proposing CAFQA, a Clifford Ansatz For Quantum Accuracy. The CAFQA ansatz is a hardware-efficient circuit built with only Clifford gates. In this ansatz, the parameters for the tunable gates are chosen by searching efficiently through the Clifford parameter space via classical simula… ▽ More

    Submitted 29 September, 2023; v1 submitted 25 February, 2022; originally announced February 2022.

    Comments: Appears at the 28th Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS 2023). Previous title - CAFQA: Clifford Ansatz For Quantum Accuracy. Paper revised to ASPLOS requirements, added additional improvements to the CAFQA framework / evaluation. Added preliminary exploration on CAFQA with T gates

  4. arXiv:2202.11045  [pdf, other

    quant-ph cs.AR

    SupermarQ: A Scalable Quantum Benchmark Suite

    Authors: Teague Tomesh, Pranav Gokhale, Victory Omole, Gokul Subramanian Ravi, Kaitlin N. Smith, Joshua Viszlai, Xin-Chuan Wu, Nikos Hardavellas, Margaret R. Martonosi, Frederic T. Chong

    Abstract: The emergence of quantum computers as a new computational paradigm has been accompanied by speculation concerning the scope and timeline of their anticipated revolutionary changes. While quantum computing is still in its infancy, the variety of different architectures used to implement quantum computations make it difficult to reliably measure and compare performance. This problem motivates our in… ▽ More

    Submitted 27 April, 2022; v1 submitted 22 February, 2022; originally announced February 2022.

    Comments: 17 pages, 4 figures, Awarded Best Paper during the 28th IEEE International Symposium on High-Performance Computer Architecture (HPCA-28), Seoul, South Korea

  5. Practical implications of SFQ-based two-qubit gates

    Authors: Mohammad Reza Jokar, Richard Rines, Frederic T. Chong

    Abstract: Scalability of today's superconducting quantum computers is limited due to the huge costs of generating/routing microwave control pulses per qubit from room temperature. One active research area in both industry and academia is to push the classical controllers to the dilution refrigerator in order to increase the scalability of quantum computers. Superconducting Single Flux Quantum (SFQ) is a cla… ▽ More

    Submitted 3 February, 2022; originally announced February 2022.

  6. arXiv:2202.01407  [pdf, other

    quant-ph

    DigiQ: A Scalable Digital Controller for Quantum Computers Using SFQ Logic

    Authors: Mohammad Reza Jokar, Richard Rines, Ghasem Pasandi, Haolin Cong, Adam Holmes, Yunong Shi, Massoud Pedram, Frederic T. Chong

    Abstract: The control of cryogenic qubits in today's superconducting quantum computer prototypes presents significant scalability challenges due to the massive costs of generating/routing the analog control signals that need to be sent from a classical controller at room temperature to the quantum chip inside the dilution refrigerator. Thus, researchers in industry and academia have focused on designing in-… ▽ More

    Submitted 2 February, 2022; originally announced February 2022.

  7. arXiv:2201.08825  [pdf, other

    quant-ph cs.AR cs.DC

    Modeling Short-Range Microwave Networks to Scale Superconducting Quantum Computation

    Authors: Nicholas LaRacuente, Kaitlin N. Smith, Poolad Imany, Kevin L. Silverman, Frederic T. Chong

    Abstract: A core challenge for superconducting quantum computers is to scale up the number of qubits in each processor without increasing noise or cross-talk. Distributed quantum computing across small qubit arrays, known as chiplets, can address these challenges in a scalable manner. We propose a chiplet architecture over microwave links with potential to exceed monolithic performance on near-term hardware… ▽ More

    Submitted 5 January, 2023; v1 submitted 21 January, 2022; originally announced January 2022.

    Comments: 23 pages, 11 figures

  8. arXiv:2112.05821  [pdf, other

    quant-ph

    VAQEM: A Variational Approach to Quantum Error Mitigation

    Authors: Gokul Subramanian Ravi, Kaitlin N. Smith, Pranav Gokhale, Andrea Mari, Nathan Earnest, Ali Javadi-Abhari, Frederic T. Chong

    Abstract: Variational Quantum Algorithms (VQAs) are relatively robust to noise, but errors are still a significant detriment to VQAs on near-term quantum machines. It is imperative to employ error mitigation techniques to improve VQA fidelity. While existing error mitigation techniques built from theory provide substantial gains, the disconnect between theory and real machine execution limits their benefits… ▽ More

    Submitted 10 December, 2021; originally announced December 2021.

    Comments: To appear at The 28th IEEE International Symposium on High-PerformanceComputer Architecture (HPCA-28)

  9. arXiv:2111.06469  [pdf, other

    quant-ph cs.AR cs.ET

    Exploiting Long-Distance Interactions and Tolerating Atom Loss in Neutral Atom Quantum Architectures

    Authors: Jonathan M. Baker, Andrew Litteken, Casey Duckering, Henry Hoffman, Hannes Bernien, Frederic T. Chong

    Abstract: Quantum technologies currently struggle to scale beyond moderate scale prototypes and are unable to execute even reasonably sized programs due to prohibitive gate error rates or coherence times. Many software approaches rely on heavy compiler optimization to squeeze extra value from noisy machines but are fundamentally limited by hardware. Alone, these software approaches help to maximize the use… ▽ More

    Submitted 11 November, 2021; originally announced November 2021.

    Comments: 14 pages, 14 figures, In ISCA '21: The 48th International Symposium on Computer Architecture

  10. arXiv:2111.04572  [pdf, other

    quant-ph

    Optimized fermionic SWAP networks with equivalent circuit averaging for QAOA

    Authors: Akel Hashim, Rich Rines, Victory Omole, Ravi K. Naik, John Mark Kreikebaum, David I. Santiago, Frederic T. Chong, Irfan Siddiqi, Pranav Gokhale

    Abstract: The fermionic SWAP network is a qubit routing sequence that can be used to efficiently execute the Quantum Approximate Optimization Algorithm (QAOA). Even with a minimally-connected topology on an n-qubit processor, this routing sequence enables O(n^2) operations to execute in O(n) steps. In this work, we optimize the execution of fermionic SWAP networks for QAOA through two techniques. First, we… ▽ More

    Submitted 11 November, 2021; v1 submitted 8 November, 2021; originally announced November 2021.

  11. arXiv:2110.12624  [pdf, other

    quant-ph

    Adapting Quantum Approximation Optimization Algorithm (QAOA) for Unit Commitment

    Authors: Samantha Koretsky, Pranav Gokhale, Jonathan M. Baker, Joshua Viszlai, Honghao Zheng, Niroj Gurung, Ryan Burg, Esa Aleksi Paaso, Amin Khodaei, Rozhin Eskandarpour, Frederic T. Chong

    Abstract: In the present Noisy Intermediate-Scale Quantum (NISQ), hybrid algorithms that leverage classical resources to reduce quantum costs are particularly appealing. We formulate and apply such a hybrid quantum-classical algorithm to a power system optimization problem called Unit Commitment, which aims to satisfy a target power load at minimal cost. Our algorithm extends the Quantum Approximation Optim… ▽ More

    Submitted 24 October, 2021; originally announced October 2021.

  12. arXiv:2110.11331  [pdf, other

    cs.LG cs.AI quant-ph

    QuantumNAT: Quantum Noise-Aware Training with Noise Injection, Quantization and Normalization

    Authors: Hanrui Wang, Jiaqi Gu, Yongshan Ding, Zirui Li, Frederic T. Chong, David Z. Pan, Song Han

    Abstract: Parameterized Quantum Circuits (PQC) are promising towards quantum advantage on near-term quantum hardware. However, due to the large quantum noises (errors), the performance of PQC models has a severe degradation on real quantum devices. Take Quantum Neural Network (QNN) as an example, the accuracy gap between noise-free simulation and noisy results on IBMQ-Yorktown for MNIST-4 classification is… ▽ More

    Submitted 13 June, 2023; v1 submitted 21 October, 2021; originally announced October 2021.

    Comments: Published as a conference paper at DAC 2022; 10 pages, 9 figures; TorchQuantum open-source at https://github.com/mit-han-lab/torchquantum

  13. arXiv:2109.13199  [pdf, other

    quant-ph eess.SY

    Faster and More Reliable Quantum SWAPs via Native Gates

    Authors: Pranav Gokhale, Teague Tomesh, Martin Suchara, Frederic T. Chong

    Abstract: Due to the sparse connectivity of superconducting quantum computers, qubit communication via SWAP gates accounts for the vast majority of overhead in quantum programs. We introduce a method for improving the speed and reliability of SWAPs at the level of the superconducting hardware's native gateset. Our method relies on four techniques: 1) SWAP Orientation, 2) Cross-Gate Pulse Cancellation, 3) Co… ▽ More

    Submitted 27 September, 2021; originally announced September 2021.

  14. arXiv:2109.04654  [pdf, other

    cs.GR cs.CV

    Per Garment Capture and Synthesis for Real-time Virtual Try-on

    Authors: Toby Chong, I-Chao Shen, Nobuyuki Umetani, Takeo Igarashi

    Abstract: Virtual try-on is a promising application of computer graphics and human computer interaction that can have a profound real-world impact especially during this pandemic. Existing image-based works try to synthesize a try-on image from a single image of a target garment, but it inherently limits the ability to react to possible interactions. It is difficult to reproduce the change of wrinkles cause… ▽ More

    Submitted 9 September, 2021; originally announced September 2021.

    Comments: Accepted to UIST2021. Project page: https://sites.google.com/view/deepmannequin/home

  15. arXiv:2109.00133  [pdf, other

    cs.HC cs.RO

    AugLimb: Compact Robotic Limb for Human Augmentation

    Authors: Zeyu Ding, Shogo Yoshida, Toby Chong, Tsukasa Fukusato, Takuma Torii, Haoran Xie

    Abstract: This work proposes a compact robotic limb, AugLimb, that can augment our body functions and support the daily activities. AugLimb adopts the double-layer scissor unit for the extendable mechanism which can achieve 2.5 times longer than the forearm length. The proposed device can be mounted on the user's upper arm, and transform into compact state without obstruction to wearers. The proposed device… ▽ More

    Submitted 31 August, 2021; originally announced September 2021.

    Comments: 2 pages, 3 figures

  16. arXiv:2107.10845  [pdf, other

    quant-ph cs.AR cs.LG

    QuantumNAS: Noise-Adaptive Search for Robust Quantum Circuits

    Authors: Hanrui Wang, Yongshan Ding, Jiaqi Gu, Zirui Li, Yujun Lin, David Z. Pan, Frederic T. Chong, Song Han

    Abstract: Quantum noise is the key challenge in Noisy Intermediate-Scale Quantum (NISQ) computers. Previous work for mitigating noise has primarily focused on gate-level or pulse-level noise-adaptive compilation. However, limited research efforts have explored a higher level of optimization by making the quantum circuits themselves resilient to noise. We propose QuantumNAS, a comprehensive framework for n… ▽ More

    Submitted 6 January, 2022; v1 submitted 22 July, 2021; originally announced July 2021.

    Comments: Published as a conference paper in HPCA 2022. 19 pages, 22 figures. TorchQuantum Code available at https://github.com/mit-han-lab/torchquantum

  17. arXiv:2105.01760  [pdf, other

    quant-ph

    Error Mitigation in Quantum Computers through Instruction Scheduling

    Authors: Kaitlin N. Smith, Gokul Subramanian Ravi, Prakash Murali, Jonathan M. Baker, Nathan Earnest, Ali Javadi-Abhari, Frederic T. Chong

    Abstract: Quantum systems have potential to demonstrate significant computational advantage, but current quantum devices suffer from the rapid accumulation of error that prevents the storage of quantum information over extended periods. The unintentional coupling of qubits to their environment and each other adds significant noise to computation, and improved methods to combat decoherence are required to bo… ▽ More

    Submitted 10 November, 2021; v1 submitted 4 May, 2021; originally announced May 2021.

  18. arXiv:2104.06349  [pdf, other

    cs.PL quant-ph

    Gleipnir: Toward Practical Error Analysis for Quantum Programs (Extended Version)

    Authors: Runzhou Tao, Yunong Shi, Jianan Yao, John Hui, Frederic T. Chong, Ronghui Gu

    Abstract: Practical error analysis is essential for the design, optimization, and evaluation of Noisy Intermediate-Scale Quantum(NISQ) computing. However, bounding errors in quantum programs is a grand challenge, because the effects of quantum errors depend on exponentially large quantum states. In this work, we present Gleipnir, a novel methodology toward practically computing verified error bounds in quan… ▽ More

    Submitted 19 April, 2021; v1 submitted 13 April, 2021; originally announced April 2021.

    Comments: typos corrected

  19. arXiv:2104.01572  [pdf, other

    cs.CL

    TransfoRNN: Capturing the Sequential Information in Self-Attention Representations for Language Modeling

    Authors: Tze Yuang Chong, Xuyang Wang, Lin Yang, Junjie Wang

    Abstract: In this paper, we describe the use of recurrent neural networks to capture sequential information from the self-attention representations to improve the Transformers. Although self-attention mechanism provides a means to exploit long context, the sequential information, i.e. the arrangement of tokens, is not explicitly captured. We propose to cascade the recurrent neural networks to the Transforme… ▽ More

    Submitted 4 April, 2021; originally announced April 2021.

    Comments: INTERSPEECH 2021 (under reviewed)

  20. arXiv:2103.04544  [pdf, other

    cs.HC cs.CV

    Exploring a Makeup Support System for Transgender Passing based on Automatic Gender Recognition

    Authors: Toby Chong, Nolwenn Maudet, Katsuki Harima, Takeo Igarashi

    Abstract: How to handle gender with machine learning is a controversial topic. A growing critical body of research brought attention to the numerous issues transgender communities face with the adoption of current automatic gender recognition (AGR) systems. In contrast, we explore how such technologies could potentially be appropriated to support transgender practices and needs, especially in non-Western co… ▽ More

    Submitted 7 March, 2021; originally announced March 2021.

    Comments: Accepted to CHI2021. Project Page: https://sites.google.com/view/flyingcolor

  21. Orchestrated Trios: Compiling for Efficient Communication in Quantum Programs with 3-Qubit Gates

    Authors: Casey Duckering, Jonathan M. Baker, Andrew Litteken, Frederic T. Chong

    Abstract: Current quantum computers are especially error prone and require high levels of optimization to reduce operation counts and maximize the probability the compiled program will succeed. These computers only support operations decomposed into one- and two-qubit gates and only two-qubit gates between physically connected pairs of qubits. Typical compilers first decompose operations, then route data to… ▽ More

    Submitted 16 February, 2021; originally announced February 2021.

    Comments: In ASPLOS '21: 26th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, 12 pages, 12 figures

  22. arXiv:2012.09835  [pdf, other

    quant-ph

    QGo: Scalable Quantum Circuit Optimization Using Automated Synthesis

    Authors: Xin-Chuan Wu, Marc Grau Davis, Frederic T. Chong, Costin Iancu

    Abstract: The current phase of quantum computing is in the Noisy Intermediate-Scale Quantum (NISQ) era. On NISQ devices, two-qubit gates such as CNOTs are much noisier than single-qubit gates, so it is essential to minimize their count. Quantum circuit synthesis is a process of decomposing an arbitrary unitary into a sequence of quantum gates, and can be used as an optimization tool to produce shorter circu… ▽ More

    Submitted 23 March, 2022; v1 submitted 17 December, 2020; originally announced December 2020.

  23. Resource-Efficient Quantum Computing by Breaking Abstractions

    Authors: Yunong Shi, Pranav Gokhale, Prakash Murali, Jonathan M. Baker, Casey Duckering, Yongshan Ding, Natalie C. Brown, Christopher Chamberland, Ali Javadi Abhari, Andrew W. Cross, David I. Schuster, Kenneth R. Brown, Margaret Martonosi, Frederic T. Chong

    Abstract: Building a quantum computer that surpasses the computational power of its classical counterpart is a great engineering challenge. Quantum software optimizations can provide an accelerated pathway to the first generation of quantum computing applications that might save years of engineering effort. Current quantum software stacks follow a layered approach similar to the stack of classical computers… ▽ More

    Submitted 30 October, 2020; originally announced November 2020.

    Comments: Invited paper by Proceedings of IEEE special issue

    Journal ref: in Proceedings of the IEEE, vol. 108, no. 8, pp. 1353-1370, Aug. 2020

  24. arXiv:2010.15876  [pdf, other

    quant-ph

    TILT: Achieving Higher Fidelity on a Trapped-Ion Linear-Tape Quantum Computing Architecture

    Authors: Xin-Chuan Wu, Dripto M. Debroy, Yongshan Ding, Jonathan M. Baker, Yuri Alexeev, Kenneth R. Brown, Frederic T. Chong

    Abstract: Trapped-ion qubits are a leading technology for practical quantum computing. In this work, we present an architectural analysis of a linear-tape architecture for trapped ions. In order to realize our study, we develop and evaluate map** and scheduling algorithms for this architecture. In particular, we introduce TILT, a linear "Turing-machine-like" architecture with a multilaser control "head"… ▽ More

    Submitted 3 November, 2020; v1 submitted 29 October, 2020; originally announced October 2020.

  25. Adaptive Circuit Learning for Quantum Metrology

    Authors: Ziqi Ma, Pranav Gokhale, Tian-Xing Zheng, Sisi Zhou, Xiaofei Yu, Liang Jiang, Peter Maurer, Frederic T. Chong

    Abstract: Quantum sensing is an important application of emerging quantum technologies. We explore whether a hybrid system of quantum sensors and quantum circuits can surpass the classical limit of sensing. In particular, we use optimization techniques to search for encoder and decoder circuits that scalably improve sensitivity under given application and noise characteristics. Our approach uses a variation… ▽ More

    Submitted 15 November, 2021; v1 submitted 16 October, 2020; originally announced October 2020.

    Comments: 12 pages, 11 figures

    Journal ref: 2021 IEEE International Conference on Quantum Computing and Engineering (QCE), 2021, pp. 419-430

  26. arXiv:2009.01982  [pdf, other

    quant-ph cs.AR cs.ET

    Virtualized Logical Qubits: A 2.5D Architecture for Error-Corrected Quantum Computing

    Authors: Casey Duckering, Jonathan M. Baker, David I. Schuster, Frederic T. Chong

    Abstract: Current, near-term quantum devices have shown great progress in recent years culminating with a demonstration of quantum supremacy. In the medium-term, however, quantum machines will need to transition to greater reliability through error correction, likely through promising techniques such as surface codes which are well suited for near-term devices with limited qubit connectivity. We discover qu… ▽ More

    Submitted 3 September, 2020; originally announced September 2020.

    Comments: 12 pages, 13 figures, In MICRO '20: 53rd IEEE/ACM International Symposium on Microarchitecture

  27. Systematic Crosstalk Mitigation for Superconducting Qubits via Frequency-Aware Compilation

    Authors: Yongshan Ding, Pranav Gokhale, Sophia Fuhui Lin, Richard Rines, Thomas Propson, Frederic T. Chong

    Abstract: One of the key challenges in current Noisy Intermediate-Scale Quantum (NISQ) computers is to control a quantum system with high-fidelity quantum gates. There are many reasons a quantum gate can go wrong -- for superconducting transmon qubits in particular, one major source of gate error is the unwanted crosstalk between neighboring qubits due to a phenomenon called frequency crowding. We motivate… ▽ More

    Submitted 21 August, 2020; originally announced August 2020.

  28. arXiv:2007.04246  [pdf, other

    quant-ph eess.SY

    Quantum Fan-out: Circuit Optimizations and Technology Modeling

    Authors: Pranav Gokhale, Samantha Koretsky, Shilin Huang, Swarnadeep Majumder, Andrew Drucker, Kenneth R. Brown, Frederic T. Chong

    Abstract: Instruction scheduling is a key compiler optimization in quantum computing, just as it is for classical computing. Current schedulers optimize for data parallelism by allowing simultaneous execution of instructions, as long as their qubits do not overlap. However, on many quantum hardware platforms, instructions on overlap** qubits can be executed simultaneously through __global interactions__.… ▽ More

    Submitted 8 July, 2020; originally announced July 2020.

  29. arXiv:2006.02100  [pdf

    q-bio.QM

    COVID-19 Related Mobility Reduction: Heterogenous Effects on Sleep and Physical Activity Rhythms

    Authors: J. L. Ong, T. Y. Lau, S. A. A. Massar, Z. T. Chong, B. K. L. Ng, D. Koek, W. Zhao, B. T. T. Yeo, K. Cheong, M. W. L. Chee

    Abstract: Mobility restrictions imposed to suppress coronavirus transmission can alter physical activity (PA) and sleep patterns. Characterization of response heterogeneity and their underlying reasons may assist in tailoring customized interventions. We obtained wearable data covering baseline, incremental movement restriction and lockdown periods from 1824 city-dwelling, working adults aged 21 to 40 years… ▽ More

    Submitted 14 July, 2020; v1 submitted 3 June, 2020; originally announced June 2020.

    Comments: 30 pages, 3 main figures, 3 tables, 4 supplementary figures

  30. Time-Sliced Quantum Circuit Partitioning for Modular Architectures

    Authors: Jonathan M. Baker, Casey Duckering, Alexander Hoover, Frederic T. Chong

    Abstract: Current quantum computer designs will not scale. To scale beyond small prototypes, quantum architectures will likely adopt a modular approach with clusters of tightly connected quantum bits and sparser connections between clusters. We exploit this clustering and the statically-known control flow of quantum programs to create tractable partitioning heuristics which map quantum circuits to modular p… ▽ More

    Submitted 25 May, 2020; originally announced May 2020.

    Comments: Appears in CF'20: ACM International Conference on Computing Frontiers

    Journal ref: 17th ACM International Conference on Computing Frontiers (2020)

  31. arXiv:2004.14970  [pdf, other

    quant-ph cs.LG

    Coreset Clustering on Small Quantum Computers

    Authors: Teague Tomesh, Pranav Gokhale, Eric R. Anschuetz, Frederic T. Chong

    Abstract: Many quantum algorithms for machine learning require access to classical data in superposition. However, for many natural data sets and algorithms, the overhead required to load the data set in superposition can erase any potential quantum speedup over classical algorithms. Recent work by Harrow introduces a new paradigm in hybrid quantum-classical computing to address this issue, relying on cores… ▽ More

    Submitted 30 April, 2020; originally announced April 2020.

  32. arXiv:2004.11205  [pdf, other

    quant-ph eess.SY

    Optimized Quantum Compilation for Near-Term Algorithms with OpenPulse

    Authors: Pranav Gokhale, Ali Javadi-Abhari, Nathan Earnest, Yunong Shi, Frederic T. Chong

    Abstract: Quantum computers are traditionally operated by programmers at the granularity of a gate-based instruction set. However, the actual device-level control of a quantum computer is performed via analog pulses. We introduce a compiler that exploits direct control at this microarchitectural level to achieve significant improvements for quantum programs. Unlike quantum optimal control, our approach is b… ▽ More

    Submitted 8 May, 2020; v1 submitted 23 April, 2020; originally announced April 2020.

  33. SQUARE: Strategic Quantum Ancilla Reuse for Modular Quantum Programs via Cost-Effective Uncomputation

    Authors: Yongshan Ding, Xin-Chuan Wu, Adam Holmes, Ash Wiseth, Diana Franklin, Margaret Martonosi, Frederic T. Chong

    Abstract: Compiling high-level quantum programs to machines that are size constrained (i.e. limited number of quantum bits) and time constrained (i.e. limited number of quantum operations) is challenging. In this paper, we present SQUARE (Strategic QUantum Ancilla REuse), a compilation infrastructure that tackles allocation and reclamation of scratch qubits (called ancilla) in modular quantum programs. At i… ▽ More

    Submitted 25 June, 2020; v1 submitted 18 April, 2020; originally announced April 2020.

    Comments: 14 pages, 10 figures

  34. arXiv:2004.04794  [pdf, other

    quant-ph

    NISQ+: Boosting quantum computing power by approximating quantum error correction

    Authors: Adam Holmes, Mohammad Reza Jokar, Ghasem Pasandi, Yongshan Ding, Massoud Pedram, Frederic T. Chong

    Abstract: Quantum computers are growing in size, and design decisions are being made now that attempt to squeeze more computation out of these machines. In this spirit, we design a method to boost the computational power of near-term quantum computers by adapting protocols used in quantum error correction to implement "Approximate Quantum Error Correction (AQEC)." By approximating fully-fledged error correc… ▽ More

    Submitted 14 April, 2020; v1 submitted 9 April, 2020; originally announced April 2020.

  35. arXiv:2002.10592  [pdf, other

    quant-ph cs.ET

    Efficient Quantum Circuit Decompositions via Intermediate Qudits

    Authors: Jonathan M. Baker, Casey Duckering, Frederic T. Chong

    Abstract: Many quantum algorithms make use of ancilla, additional qubits used to store temporary information during computation, to reduce the total execution time. Quantum computers will be resource-constrained for years to come so reducing ancilla requirements is crucial. In this work, we give a method to generate ancilla out of idle qubits by placing some in higher-value states, called qudits. We show ho… ▽ More

    Submitted 24 February, 2020; originally announced February 2020.

    Comments: 6 pages, 4 figures, In ISMVL 2020: IEEE International Symposium on Multiple-Valued Logic

  36. arXiv:2002.04505  [pdf, ps, other

    math.DG math.CV

    Schwarz type lemmas for generalized holomorphic maps between pseudo-Hermitian manifolds and Hermitian manifolds

    Authors: Tian Chong, Yuxin Dong, Yibin Ren, Weike Yu

    Abstract: In this paper, we consider some generalized holomorphic maps between pseudo-Hermitian manifolds and Hermitian manifolds. By Bochner formulas and comparison theorems, we establish related Schwarz type results. As corollaries, Liouville theorem and little Picard theorem for basic CR functions are deduced. Finally, we study CR Carathéodory pseudodistance on CR manifolds.

    Submitted 11 February, 2020; originally announced February 2020.

    Comments: 16 pages

  37. arXiv:2001.05983  [pdf, other

    quant-ph

    Term Grou** and Travelling Salesperson for Digital Quantum Simulation

    Authors: Kaiwen Gui, Teague Tomesh, Pranav Gokhale, Yunong Shi, Frederic T. Chong, Margaret Martonosi, Martin Suchara

    Abstract: Digital simulation of quantum dynamics by evaluating the time evolution of a Hamiltonian is the initially proposed application of quantum computing. The large number of quantum gates required for emulating the complete second quantization form of the Hamiltonian, however, makes such an approach unsuitable for near-term devices with limited gate fidelities that cause high physical errors. In additi… ▽ More

    Submitted 12 March, 2021; v1 submitted 16 January, 2020; originally announced January 2020.

  38. arXiv:1912.09049  [pdf, other

    math.LO

    The Strength of Ramsey's Theorem For Pairs over trees: I. Weak König's Lemma

    Authors: Chi Tat Chong, Wei Li, Lu Liu, Yue Yang

    Abstract: Let $\mathsf{TT}^2_k$ denote the combinatorial principle stating that every $k$-coloring of pairs of compatible nodes in the full binary tree has a homogeneous solution, i.e. an isomorphic subtree in which all pairs of compatible nodes have the same color. Let $\mathsf{WKL}_0$ be the subsystem of second order arithmetic consisting of the base system $\mathsf{RCA}_0$ together with the principle (ca… ▽ More

    Submitted 19 December, 2019; originally announced December 2019.

    Comments: 24pages

    MSC Class: 03B30; 03F35; 03D80

  39. arXiv:1912.07577  [pdf, other

    quant-ph cond-mat.other hep-lat hep-th nucl-th

    Quantum Computer Systems for Scientific Discovery

    Authors: Yuri Alexeev, Dave Bacon, Kenneth R. Brown, Robert Calderbank, Lincoln D. Carr, Frederic T. Chong, Brian DeMarco, Dirk Englund, Edward Farhi, Bill Fefferman, Alexey V. Gorshkov, Andrew Houck, Jungsang Kim, Shelby Kimmel, Michael Lange, Seth Lloyd, Mikhail D. Lukin, Dmitri Maslov, Peter Maunz, Christopher Monroe, John Preskill, Martin Roetteler, Martin Savage, Jeff Thompson

    Abstract: The great promise of quantum computers comes with the dual challenges of building them and finding their useful applications. We argue that these two challenges should be considered together, by co-designing full-stack quantum computer systems along with their applications in order to hasten their development and potential for scientific discovery. In this context, we identify scientific and commu… ▽ More

    Submitted 29 July, 2020; v1 submitted 16 December, 2019; originally announced December 2019.

    Journal ref: PRX Quantum 2, 017001 (2021)

  40. Full-State Quantum Circuit Simulation by Using Data Compression

    Authors: Xin-Chuan Wu, Sheng Di, Emma Maitreyee Dasgupta, Franck Cappello, Hal Finkel, Yuri Alexeev, Frederic T. Chong

    Abstract: Quantum circuit simulations are critical for evaluating quantum algorithms and machines. However, the number of state amplitudes required for full simulation increases exponentially with the number of qubits. In this study, we leverage data compression to reduce memory requirements, trading computation time and fidelity for memory space. Specifically, we develop a hybrid solution by combining the… ▽ More

    Submitted 13 May, 2020; v1 submitted 10 November, 2019; originally announced November 2019.

    Comments: Published in SC2019. Please cite the SC version

  41. arXiv:1909.07522  [pdf, other

    quant-ph eess.SY

    Partial Compilation of Variational Algorithms for Noisy Intermediate-Scale Quantum Machines

    Authors: Pranav Gokhale, Yongshan Ding, Thomas Propson, Christopher Winkler, Nelson Leung, Yunong Shi, David I. Schuster, Henry Hoffmann, Frederic T. Chong

    Abstract: Quantum computing is on the cusp of reality with Noisy Intermediate-Scale Quantum (NISQ) machines currently under development and testing. Some of the most promising algorithms for these machines are variational algorithms that employ classical optimization coupled with quantum hardware to evaluate the quality of each candidate solution. Recent work used GRadient Descent Pulse Engineering (GRAPE)… ▽ More

    Submitted 16 September, 2019; originally announced September 2019.

    Comments: Appearing in the 52nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-52), October 12-16, 2019, Columbus, OH, USA

  42. arXiv:1908.11857  [pdf, other

    quant-ph

    $O(N^3)$ Measurement Cost for Variational Quantum Eigensolver on Molecular Hamiltonians

    Authors: Pranav Gokhale, Frederic T. Chong

    Abstract: Variational Quantum Eigensolver (VQE) is a promising algorithm for near-term quantum machines. It can be used to estimate the ground state energy of a molecule by performing separate measurements of $O(N^4)$ terms. Several recent papers observed that this scaling may be reducible to $O(N^3)$ by partitioning the terms into linear-sized commuting families that can be measured simultaneously. We conf… ▽ More

    Submitted 30 August, 2019; originally announced August 2019.

    Comments: 5 pages, 3 figures

  43. arXiv:1908.08963  [pdf, other

    quant-ph cs.ET cs.PL

    CertiQ: A Mostly-automated Verification of a Realistic Quantum Compiler

    Authors: Yunong Shi, Runzhou Tao, Xupeng Li, Ali Javadi-Abhari, Andrew W. Cross, Frederic T. Chong, Ronghui Gu

    Abstract: We present CertiQ, a verification framework for writing and verifying compiler passes of Qiskit, the most widely-used quantum compiler. To our knowledge, CertiQ is the first effort enabling the verification of real-world quantum compiler passes in a mostly-automated manner. Compiler passes written in the CertiQ interface with annotations can be used to generate verification conditions, as well as… ▽ More

    Submitted 26 November, 2020; v1 submitted 23 August, 2019; originally announced August 2019.

  44. Entanglement bounds on the performance of quantum computing architectures

    Authors: Zachary Eldredge, Leo Zhou, Aniruddha Bapat, James R. Garrison, Abhinav Deshpande, Frederic T. Chong, Alexey V. Gorshkov

    Abstract: There are many possible architectures of qubit connectivity that designers of future quantum computers will need to choose between. However, the process of evaluating a particular connectivity graph's performance as a quantum architecture can be difficult. In this paper, we show that a quantity known as the isoperimetric number establishes a lower bound on the time required to create highly entang… ▽ More

    Submitted 22 September, 2020; v1 submitted 13 August, 2019; originally announced August 2019.

    Comments: 9 pages, 5 figures, 1 table (journal version)

    Journal ref: Phys. Rev. Research 2, 033316 (2020)

  45. arXiv:1907.13623  [pdf, other

    quant-ph

    Minimizing State Preparations in Variational Quantum Eigensolver by Partitioning into Commuting Families

    Authors: Pranav Gokhale, Olivia Angiuli, Yongshan Ding, Kaiwen Gui, Teague Tomesh, Martin Suchara, Margaret Martonosi, Frederic T. Chong

    Abstract: Variational quantum eigensolver (VQE) is a promising algorithm suitable for near-term quantum machines. VQE aims to approximate the lowest eigenvalue of an exponentially sized matrix in polynomial time. It minimizes quantum resource requirements both by co-processing with a classical processor and by structuring computation into many subproblems. Each quantum subproblem involves a separate state p… ▽ More

    Submitted 31 July, 2019; originally announced July 2019.

  46. Asymptotic Improvements to Quantum Circuits via Qutrits

    Authors: Pranav Gokhale, Jonathan M. Baker, Casey Duckering, Natalie C. Brown, Kenneth R. Brown, Frederic T. Chong

    Abstract: Quantum computation is traditionally expressed in terms of quantum bits, or qubits. In this work, we instead consider three-level qu$trits$. Past work with qutrits has demonstrated only constant factor improvements, owing to the $\log_2(3)$ binary-to-ternary compression factor. We present a novel technique using qutrits to achieve a logarithmic depth (runtime) decomposition of the Generalized Toff… ▽ More

    Submitted 24 May, 2019; originally announced May 2019.

    Comments: In ISCA '19: 46th International Symposium on Computer Architecture, 13 pages, 11 figures

  47. Resource Optimized Quantum Architectures for Surface Code Implementations of Magic-State Distillation

    Authors: Adam Holmes, Yongshan Ding, Ali Javadi-Abhari, Diana Franklin, Margaret Martonosi, Frederic T. Chong

    Abstract: Quantum computers capable of solving classically intractable problems are under construction, and intermediate-scale devices are approaching completion. Current efforts to design large-scale devices require allocating immense resources to error correction, with the majority dedicated to the production of high-fidelity ancillary states known as magic-states. Leading techniques focus on dedicating a… ▽ More

    Submitted 25 April, 2019; originally announced April 2019.

    Comments: 16 pages, 14 figures

  48. arXiv:1904.01671  [pdf, ps, other

    quant-ph cs.ET

    Decomposing Quantum Generalized Toffoli with an Arbitrary Number of Ancilla

    Authors: Jonathan M. Baker, Casey Duckering, Alexander Hoover, Frederic T. Chong

    Abstract: We present a general decomposition of the Generalized Toffoli, and for completeness, the multi-target gate using an arbitrary number of clean or dirty ancilla. While prior work has shown how to decompose the Generalized Toffoli using 0, 1, or $O(n)$ many clean ancilla and 0, 1, and $n-2$ dirty ancilla, we provide a generalized algorithm to bridge the gap, i.e. this work gives an algorithm to gener… ▽ More

    Submitted 2 April, 2019; originally announced April 2019.

    Comments: 10 pages, 5 figures

  49. Formal Constraint-based Compilation for Noisy Intermediate-Scale Quantum Systems

    Authors: Prakash Murali, Ali Javadi-Abhari, Frederic T. Chong, Margaret Martonosi

    Abstract: Noisy, intermediate-scale quantum (NISQ) systems are expected to have a few hundred qubits, minimal or no error correction, limited connectivity and limits on the number of gates that can be performed within the short coherence window of the machine. The past decade's research on quantum programming languages and compilers is directed towards large systems with thousands of qubits. For near term q… ▽ More

    Submitted 7 March, 2019; originally announced March 2019.

    Comments: Invited paper in Special Issue on Quantum Computer Architecture: a full-stack overview, Microprocessors and Microsystems

    Journal ref: Microprocessors and Microsystems 2019

  50. Optimized Compilation of Aggregated Instructions for Realistic Quantum Computers

    Authors: Yunong Shi, Nelson Leung, Pranav Gokhale, Zane Rossi, David I. Schuster, Henry Hoffman, Fred T. Chong

    Abstract: Recent developments in engineering and algorithms have made real-world applications in quantum computing possible in the near future. Existing quantum programming languages and compilers use a quantum assembly language composed of 1- and 2-qubit (quantum bit) gates. Quantum compiler frameworks translate this quantum assembly to electric signals (called control pulses) that implement the specified… ▽ More

    Submitted 17 February, 2019; v1 submitted 4 February, 2019; originally announced February 2019.

    Comments: 13 pages, to apper in ASPLOS