Skip to main content

Showing 1–50 of 124 results for author: Chong, T

.
  1. arXiv:2406.15721  [pdf, other

    quant-ph

    Clapton: Clifford-Assisted Problem Transformation for Error Mitigation in Variational Quantum Algorithms

    Authors: Lennart Maximilian Seifert, Siddharth Dangwal, Frederic T. Chong, Gokul Subramanian Ravi

    Abstract: Variational quantum algorithms (VQAs) show potential for quantum advantage in the near term of quantum computing, but demand a level of accuracy that surpasses the current capabilities of NISQ devices. To systematically mitigate the impact of quantum device error on VQAs, we propose Clapton: Clifford-Assisted Problem Transformation for Error Mitigation in Variational Quantum Algorithms. Clapton le… ▽ More

    Submitted 21 June, 2024; originally announced June 2024.

  2. arXiv:2406.07842  [pdf, other

    eess.AS cs.CL

    Dual-Pipeline with Low-Rank Adaptation for New Language Integration in Multilingual ASR

    Authors: Yerbolat Khassanov, Zhipeng Chen, Tianfeng Chen, Tze Yuang Chong, Wei Li, Jun Zhang, Lu Lu, Yuxuan Wang

    Abstract: This paper addresses challenges in integrating new languages into a pre-trained multilingual automatic speech recognition (mASR) system, particularly in scenarios where training data for existing languages is limited or unavailable. The proposed method employs a dual-pipeline with low-rank adaptation (LoRA). It maintains two data flow pipelines-one for existing languages and another for new langua… ▽ More

    Submitted 11 June, 2024; originally announced June 2024.

    Comments: 5 pages, 2 figures, 4 tables

  3. arXiv:2405.00146  [pdf, other

    quant-ph cs.ET

    Averting multi-qubit burst errors in surface code magic state factories

    Authors: Jason D. Chadwick, Christopher Kang, Joshua Viszlai, Sophia Fuhui Lin, Frederic T. Chong

    Abstract: Fault-tolerant quantum computation relies on the assumption of time-invariant, sufficiently low physical error rates. However, current superconducting quantum computers suffer from frequent disruptive noise events, including cosmic ray impacts and shifting two-level system defects. Several methods have been proposed to mitigate these issues in software, but they add large overheads in terms of phy… ▽ More

    Submitted 30 April, 2024; originally announced May 2024.

    Comments: 13 pages, 12 figures

  4. arXiv:2404.17962  [pdf, other

    quant-ph cs.AI cs.LG cs.PF eess.SY

    Deep Learning for Low-Latency, Quantum-Ready RF Sensing

    Authors: Pranav Gokhale, Caitlin Carnahan, William Clark, Frederic T. Chong

    Abstract: Recent work has shown the promise of applying deep learning to enhance software processing of radio frequency (RF) signals. In parallel, hardware developments with quantum RF sensors based on Rydberg atoms are breaking longstanding barriers in frequency range, resolution, and sensitivity. In this paper, we describe our implementations of quantum-ready machine learning approaches for RF signal clas… ▽ More

    Submitted 27 April, 2024; originally announced April 2024.

  5. arXiv:2401.05571  [pdf, other

    quant-ph cs.AR cs.LG

    QuantumSEA: In-Time Sparse Exploration for Noise Adaptive Quantum Circuits

    Authors: Tianlong Chen, Zhenyu Zhang, Hanrui Wang, Jiaqi Gu, Zirui Li, David Z. Pan, Frederic T. Chong, Song Han, Zhangyang Wang

    Abstract: Parameterized Quantum Circuits (PQC) have obtained increasing popularity thanks to their great potential for near-term Noisy Intermediate-Scale Quantum (NISQ) computers. Achieving quantum advantages usually requires a large number of qubits and quantum circuits with enough capacity. However, limited coherence time and massive quantum noises severely constrain the size of quantum circuits that can… ▽ More

    Submitted 10 January, 2024; originally announced January 2024.

    Comments: IEEE International Conference on Quantum Computing and Engineering (QCE 2023)

  6. arXiv:2401.05339  [pdf, other

    cs.CV cs.GR

    MicroGlam: Microscopic Skin Image Dataset with Cosmetics

    Authors: Toby Chong, Alina Chadwick, I-chao Shen, Haoran Xie, Takeo Igarashi

    Abstract: In this paper, we present a cosmetic-specific skin image dataset. It consists of skin images from $45$ patches ($5$ skin patches each from $9$ participants) of size $8mm^*8mm$ under three cosmetic products (i.e., foundation, blusher, and highlighter). We designed a novel capturing device inspired by Light Stage. Using the device, we captured over $600$ images of each skin patch under diverse light… ▽ More

    Submitted 28 November, 2023; originally announced January 2024.

    Comments: Project Page: https://github.com/tobyclh/MicroGlam

  7. arXiv:2312.09733  [pdf, other

    quant-ph cond-mat.mtrl-sci

    Quantum-centric Supercomputing for Materials Science: A Perspective on Challenges and Future Directions

    Authors: Yuri Alexeev, Maximilian Amsler, Paul Baity, Marco Antonio Barroca, Sanzio Bassini, Torey Battelle, Daan Camps, David Casanova, Young jai Choi, Frederic T. Chong, Charles Chung, Chris Codella, Antonio D. Corcoles, James Cruise, Alberto Di Meglio, Jonathan Dubois, Ivan Duran, Thomas Eckl, Sophia Economou, Stephan Eidenbenz, Bruce Elmegreen, Clyde Fare, Ismael Faro, Cristina Sanz Fernández, Rodrigo Neumann Barros Ferreira , et al. (102 additional authors not shown)

    Abstract: Computational models are an essential tool for the design, characterization, and discovery of novel materials. Hard computational tasks in materials science stretch the limits of existing high-performance supercomputing centers, consuming much of their simulation, analysis, and data resources. Quantum computing, on the other hand, is an emerging technology with the potential to accelerate many of… ▽ More

    Submitted 14 December, 2023; originally announced December 2023.

    Comments: 60 pages, 14 figures; comments welcome

  8. arXiv:2311.16980  [pdf, other

    quant-ph

    Matching Generalized-Bicycle Codes to Neutral Atoms for Low-Overhead Fault-Tolerance

    Authors: Joshua Viszlai, Willers Yang, Sophia Fuhui Lin, Junyu Liu, Natalia Nottingham, Jonathan M. Baker, Frederic T. Chong

    Abstract: Despite the necessity of fault-tolerant quantum sys- tems built on error correcting codes, many popular codes, such as the surface code, have prohibitively large qubit costs. In this work we present a protocol for efficiently implementing a restricted set of space-efficient quantum error correcting (QEC) codes in atom arrays. This protocol enables generalized-bicycle codes that require up to 10x f… ▽ More

    Submitted 3 March, 2024; v1 submitted 28 November, 2023; originally announced November 2023.

  9. arXiv:2311.16214  [pdf, other

    quant-ph cs.AR cs.ET cs.LG

    DGR: Tackling Drifted and Correlated Noise in Quantum Error Correction via Decoding Graph Re-weighting

    Authors: Hanrui Wang, Pengyu Liu, Yilian Liu, Jiaqi Gu, Jonathan Baker, Frederic T. Chong, Song Han

    Abstract: Quantum hardware suffers from high error rates and noise, which makes directly running applications on them ineffective. Quantum Error Correction (QEC) is a critical technique towards fault tolerance which encodes the quantum information distributively in multiple data qubits and uses syndrome qubits to check parity. Minimum-Weight-Perfect-Matching (MWPM) is a popular QEC decoder that takes the sy… ▽ More

    Submitted 22 April, 2024; v1 submitted 27 November, 2023; originally announced November 2023.

    Comments: 13 pages, 19 figures

  10. arXiv:2311.16035  [pdf, other

    quant-ph cs.AI cs.AR cs.LG

    RobustState: Boosting Fidelity of Quantum State Preparation via Noise-Aware Variational Training

    Authors: Hanrui Wang, Yilian Liu, Pengyu Liu, Jiaqi Gu, Zirui Li, Zhiding Liang, **glei Cheng, Yongshan Ding, Xuehai Qian, Yiyu Shi, David Z. Pan, Frederic T. Chong, Song Han

    Abstract: Quantum state preparation, a crucial subroutine in quantum computing, involves generating a target quantum state from initialized qubits. Arbitrary state preparation algorithms can be broadly categorized into arithmetic decomposition (AD) and variational quantum state preparation (VQSP). AD employs a predefined procedure to decompose the target state into a series of gates, whereas VQSP iterativel… ▽ More

    Submitted 27 November, 2023; originally announced November 2023.

    Comments: Accepted to FASTML @ ICCAD 2023. 14 pages, 20 figures

  11. arXiv:2309.13507  [pdf, other

    quant-ph

    An Architecture for Improved Surface Code Connectivity in Neutral Atoms

    Authors: Joshua Viszlai, Sophia Fuhui Lin, Siddharth Dangwal, Jonathan M. Baker, Frederic T. Chong

    Abstract: In order to achieve error rates necessary for advantageous quantum algorithms, Quantum Error Correction (QEC) will need to be employed, improving logical qubit fidelity beyond what can be achieved physically. As today's devices begin to scale, co-designing architectures for QEC with the underlying hardware will be necessary to reduce the daunting overheads and accelerate the realization of practic… ▽ More

    Submitted 23 September, 2023; originally announced September 2023.

  12. arXiv:2309.05157  [pdf, other

    quant-ph

    Superstaq: Deep Optimization of Quantum Programs

    Authors: Colin Campbell, Frederic T. Chong, Denny Dahl, Paige Frederick, Palash Goiporia, Pranav Gokhale, Benjamin Hall, Salahedeen Issa, Eric Jones, Stephanie Lee, Andrew Litteken, Victory Omole, David Owusu-Antwi, Michael A. Perlin, Rich Rines, Kaitlin N. Smith, Noah Goss, Akel Hashim, Ravi Naik, Ed Younis, Daniel Lobser, Christopher G. Yale, Benchen Huang, Ji Liu

    Abstract: We describe Superstaq, a quantum software platform that optimizes the execution of quantum programs by tailoring to underlying hardware primitives. For benchmarks such as the Bernstein-Vazirani algorithm and the Qubit Coupled Cluster chemistry method, we find that deep optimization can improve program execution performance by at least 10x compared to prevailing state-of-the-art compilers. To highl… ▽ More

    Submitted 10 September, 2023; originally announced September 2023.

    Comments: Appearing in IEEE QCE 2023 (Quantum Week) conference

  13. arXiv:2308.06634  [pdf, other

    quant-ph eess.SY

    DISQ: Dynamic Iteration Skip** for Variational Quantum Algorithms

    Authors: Junyao Zhang, Hanrui Wang, Gokul Subramanian Ravi, Frederic T. Chong, Song Han, Frank Mueller, Yiran Chen

    Abstract: This paper proposes DISQ to craft a stable landscape for VQA training and tackle the noise drift challenge. DISQ adopts a "drift detector" with a reference circuit to identify and skip iterations that are severely affected by noise drift errors. Specifically, the circuits from the previous training iteration are re-executed as a reference circuit in the current iteration to estimate noise drift im… ▽ More

    Submitted 30 August, 2023; v1 submitted 12 August, 2023; originally announced August 2023.

  14. arXiv:2307.14996  [pdf, other

    quant-ph cs.AR cs.ET

    Decomposing and Routing Quantum Circuits Under Constraints for Neutral Atom Architectures

    Authors: Natalia Nottingham, Michael A. Perlin, Ryan White, Hannes Bernien, Frederic T. Chong, Jonathan M. Baker

    Abstract: Quantum computing is in an era defined by rapidly evolving quantum hardware technologies, combined with persisting high gate error rates, large amounts of noise, and short coherence times. Overcoming these limitations requires systems-level approaches that account for the strengths and weaknesses of the underlying hardware technology. Yet few hardware-aware compiler techniques exist for neutral at… ▽ More

    Submitted 27 July, 2023; originally announced July 2023.

    Comments: 13 pages, 12 figures

  15. arXiv:2307.14459  [pdf, other

    quant-ph cs.LG

    Training Quantum Boltzmann Machines with Coresets

    Authors: Joshua Viszlai, Teague Tomesh, Pranav Gokhale, Eric Anschuetz, Frederic T. Chong

    Abstract: Recent work has proposed and explored using coreset techniques for quantum algorithms that operate on classical data sets to accelerate the applicability of these algorithms on near-term quantum devices. We apply these ideas to Quantum Boltzmann Machines (QBM) where gradient-based steps which require Gibbs state sampling are the main computational bottleneck during training. By using a coreset in… ▽ More

    Submitted 26 July, 2023; originally announced July 2023.

    Comments: Appeared in IEEE International Conference on Quantum Computing and Engineering (QCE22) in September 2022

  16. arXiv:2307.13460  [pdf, other

    quant-ph cs.AI cs.LG stat.ML

    Fundamental causal bounds of quantum random access memories

    Authors: Yunfei Wang, Yuri Alexeev, Liang Jiang, Frederic T. Chong, Junyu Liu

    Abstract: Quantum devices should operate in adherence to quantum physics principles. Quantum random access memory (QRAM), a fundamental component of many essential quantum algorithms for tasks such as linear algebra, data search, and machine learning, is often proposed to offer $\mathcal{O}(\log N)$ circuit depth for $\mathcal{O}(N)$ data size, given $N$ qubits. However, this claim appears to breach the pri… ▽ More

    Submitted 25 July, 2023; originally announced July 2023.

    Comments: 8+24=32 pages, many figures

  17. arXiv:2306.15020  [pdf, other

    quant-ph cs.AR cs.ET

    Clifford Assisted Optimal Pass Selection for Quantum Transpilation

    Authors: Siddharth Dangwal, Gokul Subramanian Ravi, Lennart Maximilian Seifert, Frederic T. Chong

    Abstract: The fidelity of quantum programs in the NISQ era is limited by high levels of device noise. To increase the fidelity of quantum programs running on NISQ devices, a variety of optimizations have been proposed. These include map** passes, routing passes, scheduling methods and standalone optimisations which are usually incorporated into a transpiler as passes. Popular transpilers such as those pro… ▽ More

    Submitted 26 June, 2023; originally announced June 2023.

  18. arXiv:2306.06027  [pdf, other

    quant-ph cs.AR cs.ET

    VarSaw: Application-tailored Measurement Error Mitigation for Variational Quantum Algorithms

    Authors: Siddharth Dangwal, Gokul Subramanian Ravi, Poulami Das, Kaitlin N. Smith, Jonathan M. Baker, Frederic T. Chong

    Abstract: For potential quantum advantage, Variational Quantum Algorithms (VQAs) need high accuracy beyond the capability of today's NISQ devices, and thus will benefit from error mitigation. In this work we are interested in mitigating measurement errors which occur during qubit measurements after circuit execution and tend to be the most error-prone operations, especially detrimental to VQAs. Prior work,… ▽ More

    Submitted 29 February, 2024; v1 submitted 9 June, 2023; originally announced June 2023.

    Comments: Appears at the International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS) 2024. First two authors contributed equally

  19. arXiv:2305.03243  [pdf, other

    quant-ph cs.AR

    Microarchitectures for Heterogeneous Superconducting Quantum Computers

    Authors: Samuel Stein, Sara Sussman, Teague Tomesh, Charles Guinn, Esin Tureci, Sophia Fuhui Lin, Wei Tang, James Ang, Srivatsan Chakram, Ang Li, Margaret Martonosi, Fred T. Chong, Andrew A. Houck, Isaac L. Chuang, Michael Austin DeMarco

    Abstract: Noisy Intermediate-Scale Quantum Computing (NISQ) has dominated headlines in recent years, with the longer-term vision of Fault-Tolerant Quantum Computation (FTQC) offering significant potential albeit at currently intractable resource costs and quantum error correction (QEC) overheads. For problems of interest, FTQC will require millions of physical qubits with long coherence times, high-fidelity… ▽ More

    Submitted 4 May, 2023; originally announced May 2023.

  20. Codesign of quantum error-correcting codes and modular chiplets in the presence of defects

    Authors: Sophia Fuhui Lin, Joshua Viszlai, Kaitlin N. Smith, Gokul Subramanian Ravi, Charles Yuan, Frederic T. Chong, Benjamin J. Brown

    Abstract: Fabrication errors pose a significant challenge in scaling up solid-state quantum devices to the sizes required for fault-tolerant (FT) quantum applications. To mitigate the resource overhead caused by fabrication errors, we combine two approaches: (1) leveraging the flexibility of a modular architecture, (2) adapting the procedure of quantum error correction (QEC) to account for fabrication defec… ▽ More

    Submitted 22 March, 2024; v1 submitted 28 April, 2023; originally announced May 2023.

    Comments: In ASPLOS 2024: the 29th ACM International Conference on Architectural Support for Programming Languages and Operating Systems

  21. arXiv:2304.12166  [pdf, other

    quant-ph

    Automatic pulse-level calibration by tracking observables using iterative learning

    Authors: Andy J. Goldschmidt, Frederic T. Chong

    Abstract: Model-based quantum optimal control promises to solve a wide range of critical quantum technology problems within a single, flexible framework. The catch is that highly-accurate models are needed if the optimized controls are to meet the exacting demands set by quantum engineers. A practical alternative is to directly calibrate control parameters by taking device data and tuning until success is a… ▽ More

    Submitted 24 April, 2023; originally announced April 2023.

    Comments: 6 pages, 3 figures

  22. Exploring Ququart Computation on a Transmon using Optimal Control

    Authors: Lennart Maximilian Seifert, Ziqian Li, Tanay Roy, David I. Schuster, Frederic T. Chong, Jonathan M. Baker

    Abstract: Contemporary quantum computers encode and process quantum information in binary qubits (d = 2). However, many architectures include higher energy levels that are left as unused computational resources. We demonstrate a superconducting ququart (d = 4) processor and combine quantum optimal control with efficient gate decompositions to implement high-fidelity ququart gates. We distinguish between vie… ▽ More

    Submitted 21 April, 2023; originally announced April 2023.

  23. arXiv:2303.17380  [pdf, other

    quant-ph

    Fault Tolerant Non-Clifford State Preparation for Arbitrary Rotations

    Authors: Hyeongrak Choi, Frederic T. Chong, Dirk Englund, Yongshan Ding

    Abstract: Quantum error correction is an essential component for practical quantum computing on noisy quantum hardware. However, logical operations on error-corrected qubits require a significant resource overhead, especially for high-precision and high-fidelity non-Clifford rotation gates. To address this issue, we propose a postselection-based algorithm to efficiently prepare resource states for gate tele… ▽ More

    Submitted 30 March, 2023; originally announced March 2023.

  24. Dancing the Quantum Waltz: Compiling Three-Qubit Gates on Four Level Architectures

    Authors: Andrew Litteken, Lennart Maximilian Seifert, Jason D. Chadwick, Natalia Nottingham, Tanay Roy, Ziqian Li, David Schuster, Frederic T. Chong, Jonathan M. Baker

    Abstract: Superconducting quantum devices are a leading technology for quantum computation, but they suffer from several challenges. Gate errors, coherence errors and a lack of connectivity all contribute to low fidelity results. In particular, connectivity restrictions enforce a gate set that requires three-qubit gates to be decomposed into one- or two-qubit gates. This substantially increases the number o… ▽ More

    Submitted 27 February, 2024; v1 submitted 24 March, 2023; originally announced March 2023.

    Comments: 14 pages, 9 figures, to be published at ISCA 2023

  25. arXiv:2303.11056  [pdf

    q-bio.BM physics.bio-ph physics.comp-ph

    Structure-Based Experimental Datasets for Benchmarking of Protein Simulation Force Fields

    Authors: Chapin E. Cavender, David A. Case, Julian C. -H. Chen, Lillian T. Chong, Daniel A. Keedy, Kresten Lindorff-Larsen, David L. Mobley, O. H. Samuli Ollila, Chris Oostenbrink, Paul Robustelli, Vincent A. Voelz, Michael E. Wall, David C. Wych, Michael K. Gilson

    Abstract: This review article provides an overview of structurally oriented, experimental datasets that can be used to benchmark protein force fields, focusing on data generated by nuclear magnetic resonance (NMR) spectroscopy and room temperature (RT) protein crystallography. We discuss why these observables are useful for assessing force field accuracy, how they can be calculated from simulation trajector… ▽ More

    Submitted 2 March, 2023; originally announced March 2023.

  26. arXiv:2303.10788  [pdf, other

    quant-ph

    Clifford-based Circuit Cutting for Quantum Simulation

    Authors: Kaitlin N. Smith, Michael A. Perlin, Pranav Gokhale, Paige Frederick, David Owusu-Antwi, Richard Rines, Victory Omole, Frederic T. Chong

    Abstract: Quantum computing has potential to provide exponential speedups over classical computing for many important applications. However, today's quantum computers are in their early stages, and hardware quality issues hinder the scale of program execution. Benchmarking and simulation of quantum circuits on classical computers is therefore essential to advance the understanding of how quantum computers a… ▽ More

    Submitted 19 March, 2023; originally announced March 2023.

    Comments: To appear at the 50th International Symposium on Computer Architecture (ISCA 2023)

  27. arXiv:2303.10546  [pdf, other

    cs.HC cs.CY

    Supporting Piggybacked Co-Located Leisure Activities via Augmented Reality

    Authors: Samantha Reig, Erica Principe Cruz, Melissa M. Powers, Jennifer He, Timothy Chong, Yu Jiang Tham, Sven Kratz, Ava Robinson, Brian A. Smith, Rajan Vaish, Andrés Monroy-Hernández

    Abstract: Technology, especially the smartphone, is villainized for taking meaning and time away from in-person interactions and secluding people into "digital bubbles". We believe this is not an intrinsic property of digital gadgets, but evidence of a lack of imagination in technology design. Leveraging augmented reality (AR) toward this end allows us to create experiences for multiple people, their pets,… ▽ More

    Submitted 18 March, 2023; originally announced March 2023.

  28. arXiv:2303.02131  [pdf, other

    quant-ph cs.CC cs.LG

    Spacetime-Efficient Low-Depth Quantum State Preparation with Applications

    Authors: Kaiwen Gui, Alexander M. Dalzell, Alessandro Achille, Martin Suchara, Frederic T. Chong

    Abstract: We propose a novel deterministic method for preparing arbitrary quantum states. When our protocol is compiled into CNOT and arbitrary single-qubit gates, it prepares an $N$-dimensional state in depth $O(\log(N))$ and spacetime allocation (a metric that accounts for the fact that oftentimes some ancilla qubits need not be active for the entire circuit) $O(N)$, which are both optimal. When compiled… ▽ More

    Submitted 9 February, 2024; v1 submitted 3 March, 2023; originally announced March 2023.

    Journal ref: Quantum 8, 1257 (2024)

  29. arXiv:2303.00658  [pdf, other

    quant-ph cs.AR cs.ET

    Qompress: Efficient Compilation for Ququarts Exploiting Partial and Mixed Radix Operations for Communication Reduction

    Authors: Andrew Litteken, Lennart Maximilian Seifert, Jason Chadwick, Natalia Nottingham, Fredric T. Chong, Jonathan M. Baker

    Abstract: Quantum computing is in an era of limited resources. Current hardware lacks high fidelity gates, long coherence times, and the number of computational units required to perform meaningful computation. Contemporary quantum devices typically use a binary system, where each qubit exists in a superposition of the $\ket{0}$ and $\ket{1}$ states. However, it is often possible to access the $\ket{2}$ or… ▽ More

    Submitted 2 March, 2023; v1 submitted 1 March, 2023; originally announced March 2023.

    Comments: 14 pages, 13 figures, 1 table, to be published at ASPLOS 2023

    Journal ref: ASPLOS 2023: Proceedings of the 28th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, Volume 2, January 2023, Pages 646-659

  30. QContext: Context-Aware Decomposition for Quantum Gates

    Authors: Ji Liu, Max Bowman, Pranav Gokhale, Siddharth Dangwal, Jeffrey Larson, Frederic T. Chong, Paul D. Hovland

    Abstract: In this paper we propose QContext, a new compiler structure that incorporates context-aware and topology-aware decompositions. Because of circuit equivalence rules and resynthesis, variants of a gate-decomposition template may exist. QContext exploits the circuit information and the hardware topology to select the gate variant that increases circuit optimization opportunities. We study the basis-g… ▽ More

    Submitted 3 February, 2023; originally announced February 2023.

    Comments: 10 pages

  31. Efficient control pulses for continuous quantum gate families through coordinated re-optimization

    Authors: Jason D. Chadwick, Frederic T. Chong

    Abstract: We present a general method to quickly generate high-fidelity control pulses for any continuously-parameterized set of quantum gates after calibrating a small number of reference pulses. We find that interpolating between optimized control pulses for different quantum operations does not immediately yield a high-fidelity intermediate operation. To solve this problem, we propose a method to optimiz… ▽ More

    Submitted 31 July, 2023; v1 submitted 3 February, 2023; originally announced February 2023.

    Comments: 9 pages, 6 figures, 2 tables; appearing in QCE 2023

  32. arXiv:2212.03850  [pdf, other

    quant-ph

    SupercheQ: Quantum Advantage for Distributed Databases

    Authors: P. Gokhale, E. R. Anschuetz, C. Campbell, F. T. Chong, E. D. Dahl, P. Frederick, E. B. Jones, B. Hall, S. Issa, P. Goiporia, S. Lee, P. Noell, V. Omole, D. Owusu-Antwi, M. A. Perlin, R. Rines, M. Saffman, K. N. Smith, T. Tomesh

    Abstract: We introduce SupercheQ, a family of quantum protocols that achieves asymptotic advantage over classical protocols for checking the equivalence of files, a task also known as fingerprinting. The first variant, SupercheQ-EE (Efficient Encoding), uses n qubits to verify files with 2^O(n) bits -- an exponential advantage in communication complexity (i.e. bandwidth, often the limiting factor in network… ▽ More

    Submitted 7 December, 2022; originally announced December 2022.

  33. arXiv:2211.16469  [pdf, other

    quant-ph cs.AR cs.ET

    Communication Trade Offs in Intermediate Qudit Circuits

    Authors: Andrew Litteken, Jonathan M. Baker, Frederic T. Chong

    Abstract: Quantum computing promises speedup of classical algorithms in the long term. Current hardware is unable to support this goal and programs must be efficiently compiled to use of the devices through reduction of qubits used, gate count and circuit duration. Many quantum systems have access to higher levels, expanding the computational space for a device. We develop higher level qudit communication… ▽ More

    Submitted 29 November, 2022; originally announced November 2022.

    Comments: 7 pages, 9 Figures, In ISVML22: 2022 IEEE 52nd International Symposium on Multiple-Valued Logic

  34. arXiv:2211.15757  [pdf, other

    quant-ph cs.AR cs.ET

    Reducing Runtime Overhead via Use-Based Migration in Neutral Atom Quantum Architectures

    Authors: Andrew Litteken, Jonathan M. Baker, Frederic T. Chong

    Abstract: Neutral atoms are a promising choice for scalable quantum computing architectures. Features such as long distance interactions and native multiqubit gates offer reductions in communication costs and operation count. However, the trapped atoms used as qubits can be lost over the course of computation and due to adverse environmental factors. The value of a lost computation qubit cannot be recovered… ▽ More

    Submitted 28 November, 2022; originally announced November 2022.

    Comments: 11 pages, 11 Figures, In QCE22: 2022 IEEE International Conference on Quantum Computing & Engineering

  35. arXiv:2211.12711  [pdf, other

    quant-ph cs.AI cs.AR cs.LG eess.SY

    SnCQA: A hardware-efficient equivariant quantum convolutional circuit architecture

    Authors: Han Zheng, Christopher Kang, Gokul Subramanian Ravi, Hanrui Wang, Kanav Setia, Frederic T. Chong, Junyu Liu

    Abstract: We propose SnCQA, a set of hardware-efficient variational circuits of equivariant quantum convolutional circuits respective to permutation symmetries and spatial lattice symmetries with the number of qubits $n$. By exploiting permutation symmetries of the system, such as lattice Hamiltonians common to many quantum many-body and quantum chemistry problems, Our quantum neural networks are suitable f… ▽ More

    Submitted 22 September, 2023; v1 submitted 23 November, 2022; originally announced November 2022.

    Comments: 10 pages, many figures. IEEE QCE 2023, 1st best paper award in quantum algorithms

    Journal ref: 2023 IEEE International Conference on Quantum Computing and Engineering (QCE), 2023, pp. 236-245

  36. arXiv:2211.07880  [pdf, other

    quant-ph

    Fast Fingerprinting of Cloud-based NISQ Quantum Computers

    Authors: Kaitlin N. Smith, Joshua Viszlai, Lennart Maximilian Seifert, Jonathan M. Baker, Jakub Szefer, Frederic T. Chong

    Abstract: Cloud-based quantum computers have become a reality with a number of companies allowing for cloud-based access to their machines with tens to more than 100 qubits. With easy access to quantum computers, quantum information processing will potentially revolutionize computation, and superconducting transmon-based quantum computers are among some of the more promising devices available. Cloud service… ▽ More

    Submitted 14 November, 2022; originally announced November 2022.

  37. arXiv:2211.01151  [pdf, ps, other

    math.DG

    On stability of subelliptic harmonic maps with potential

    Authors: Tian Chong, Yuxin Dong, Guilin Yang

    Abstract: In this paper, we investigate the stability problem of subelliptic harmonic maps with potential. First, we derive the first and second variation formulas for subelliptic harmonic maps with potential. As a result, it is proved that a subelliptic harmonic map with potential is stable if the target manifold has nonpositive curvature and the Hessian of the potential is nonpositive definite. We also gi… ▽ More

    Submitted 2 November, 2022; originally announced November 2022.

  38. arXiv:2210.16724  [pdf, other

    quant-ph cs.AI cs.AR cs.ET cs.LG

    QuEst: Graph Transformer for Quantum Circuit Reliability Estimation

    Authors: Hanrui Wang, Pengyu Liu, **glei Cheng, Zhiding Liang, Jiaqi Gu, Zirui Li, Yongshan Ding, Weiwen Jiang, Yiyu Shi, Xuehai Qian, David Z. Pan, Frederic T. Chong, Song Han

    Abstract: Among different quantum algorithms, PQC for QML show promises on near-term devices. To facilitate the QML and PQC research, a recent python library called TorchQuantum has been released. It can construct, simulate, and train PQC for machine learning tasks with high speed and convenient debugging supports. Besides quantum for ML, we want to raise the community's attention on the reversed direction:… ▽ More

    Submitted 29 October, 2022; originally announced October 2022.

    Comments: ICCAD 2022; 10 pages, 10 figures; code at https://github.com/mit-han-lab/torchquantum

  39. arXiv:2210.15876  [pdf, ps, other

    eess.AS cs.CL cs.SD

    Random Utterance Concatenation Based Data Augmentation for Improving Short-video Speech Recognition

    Authors: Yist Y. Lin, Tao Han, Haihua Xu, Van Tung Pham, Yerbolat Khassanov, Tze Yuang Chong, Yi He, Lu Lu, Zejun Ma

    Abstract: One of limitations in end-to-end automatic speech recognition (ASR) framework is its performance would be compromised if train-test utterance lengths are mismatched. In this paper, we propose an on-the-fly random utterance concatenation (RUC) based data augmentation method to alleviate train-test utterance length mismatch issue for short-video ASR task. Specifically, we are motivated by observatio… ▽ More

    Submitted 25 May, 2023; v1 submitted 27 October, 2022; originally announced October 2022.

    Comments: 5 pages, 3 figures, 4 tables

  40. arXiv:2210.10921  [pdf, other

    quant-ph

    Scaling Superconducting Quantum Computers with Chiplet Architectures

    Authors: Kaitlin N. Smith, Gokul Subramanian Ravi, Jonathan M. Baker, Frederic T. Chong

    Abstract: Fixed-frequency transmon quantum computers (QCs) have advanced in coherence times, addressability, and gate fidelities. Unfortunately, these devices are restricted by the number of on-chip qubits, cap** processing power and slowing progress toward fault-tolerance. Although emerging transmon devices feature over 100 qubits, building QCs large enough for meaningful demonstrations of quantum advant… ▽ More

    Submitted 19 October, 2022; originally announced October 2022.

    Comments: Appeared in the 55th IEEE/ACM International Symposium on Microarchitecture (MICRO), 2022

  41. arXiv:2209.12280  [pdf, other

    quant-ph cs.AR eess.SY

    Navigating the dynamic noise landscape of variational quantum algorithms with QISMET

    Authors: Gokul Subramanian Ravi, Kaitlin N. Smith, Jonathan M. Baker, Tejas Kannan, Nathan Earnest, Ali Javadi-Abhari, Henry Hoffmann, Frederic T. Chong

    Abstract: Transient errors from the dynamic NISQ noise landscape are challenging to comprehend and are especially detrimental to classes of applications that are iterative and/or long-running, and therefore their timely mitigation is important for quantum advantage in real-world applications. The most popular examples of iterative long-running quantum applications are variational quantum algorithms (VQAs).… ▽ More

    Submitted 29 September, 2023; v1 submitted 25 September, 2022; originally announced September 2022.

    Comments: Appears at the 28th Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS 2023)

  42. arXiv:2208.13380  [pdf, other

    quant-ph

    Let Each Quantum Bit Choose Its Basis Gates

    Authors: Sophia Fuhui Lin, Sara Sussman, Casey Duckering, Pranav S. Mundada, Jonathan M. Baker, Rohan S. Kumar, Andrew A. Houck, Frederic T. Chong

    Abstract: Near-term quantum computers are primarily limited by errors in quantum operations (or gates) between two quantum bits (or qubits). A physical machine typically provides a set of basis gates that include primitive 2-qubit (2Q) and 1-qubit (1Q) gates that can be implemented in a given technology. 2Q entangling gates, coupled with some 1Q gates, allow for universal quantum computation. In superconduc… ▽ More

    Submitted 7 September, 2022; v1 submitted 29 August, 2022; originally announced August 2022.

    Comments: In MICRO 2022: 55th IEEE/ACM International Symposium on Microarchitecture, 17 pages, 7 figures

  43. arXiv:2208.08547  [pdf, other

    quant-ph cs.AR

    Better Than Worst-Case Decoding for Quantum Error Correction

    Authors: Gokul Subramanian Ravi, Jonathan M. Baker, Arash Fayyazi, Sophia Fuhui Lin, Ali Javadi-Abhari, Massoud Pedram, Frederic T. Chong

    Abstract: The overheads of classical decoding for quantum error correction on superconducting quantum systems grow rapidly with the number of logical qubits and their correction code distance. Decoding at room temperature is bottle-necked by refrigerator I/O bandwidth while cryogenic on-chip decoding is limited by area/power/thermal budget. To overcome these overheads, we are motivated by the observation… ▽ More

    Submitted 25 October, 2022; v1 submitted 17 August, 2022; originally announced August 2022.

    Comments: To appear at the 28th Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS 2023)

  44. Quantum Vulnerability Analysis to Accurate Estimate the Quantum Algorithm Success Rate

    Authors: Fang Qi, Kaitlin N. Smith, Travis LeCompte, Nianfeng Tzeng, Xu Yuan, Frederic T. Chong, Lu Peng

    Abstract: While quantum computers provide exciting opportunities for information processing, they currently suffer from noise during computation that is not fully understood. Incomplete noise models have led to discrepancies between quantum program success rate (SR) estimates and actual machine outcomes. For example, the estimated probability of success (ESP) is the state-of-the-art metric used to gauge qua… ▽ More

    Submitted 26 March, 2024; v1 submitted 28 July, 2022; originally announced July 2022.

    Comments: 11pages, 11 figures

    Journal ref: IEEE Transactions on Quantum Engineering (2023)

  45. arXiv:2207.07771  [pdf, other

    cs.HC

    Auggie: Encouraging Effortful Communication through Handcrafted Digital Experiences

    Authors: Lei Zhang, Tianying Chen, Olivia Seow, Tim Chong, Sven Kratz, Yu Jiang Tham, Andrés Monroy-Hernández, Rajan Vaish, Fannie Liu

    Abstract: Digital communication is often brisk and automated. From auto-completed messages to "likes," research has shown that such lightweight interactions can affect perceptions of authenticity and closeness. On the other hand, effort in relationships can forge emotional bonds by conveying a sense of caring and is essential in building and maintaining relationships. To explore effortful communication, we… ▽ More

    Submitted 15 July, 2022; originally announced July 2022.

    Comments: To appear at the 25th ACM Conference On Computer-Supported Cooperative Work And Social Computing (CSCW '22). 25 pages

  46. Time-Efficient Qudit Gates through Incremental Pulse Re-seeding

    Authors: Lennart Maximilian Seifert, Jason Chadwick, Andrew Litteken, Frederic T. Chong, Jonathan M. Baker

    Abstract: Current efforts to build quantum computers focus mainly on the two-state qubit, which often involves suppressing readily-available higher states. In this work, we break this abstraction and synthesize short-duration control pulses for gates on generalized d-state qudits. We present Incremental Pulse Re-seeding, a practical scheme to guide optimal control software to the lowest-duration pulse by it… ▽ More

    Submitted 27 February, 2024; v1 submitted 29 June, 2022; originally announced June 2022.

  47. arXiv:2205.00661  [pdf, other

    cs.PL quant-ph

    Giallar: Push-Button Verification for the Qiskit Quantum Compiler

    Authors: Runzhou Tao, Yunong Shi, Jianan Yao, Xupeng Li, Ali Javadi-Abhari, Andrew W. Cross, Frederic T. Chong, Ronghui Gu

    Abstract: This paper presents Giallar, a fully-automated verification toolkit for quantum compilers. Giallar requires no manual specifications, invariants, or proofs, and can automatically verify that a compiler pass preserves the semantics of quantum circuits. To deal with unbounded loops in quantum compilers, Giallar abstracts three loop templates, whose loop invariants can be automatically inferred. To e… ▽ More

    Submitted 2 May, 2022; originally announced May 2022.

    Comments: PLDI 2022; Improves arXiv:1908.08963

  48. arXiv:2203.13260  [pdf, other

    quant-ph cs.DC

    Adaptive job and resource management for the growing quantum cloud

    Authors: Gokul Subramanian Ravi, Kaitlin N. Smith, Prakash Murali, Frederic T. Chong

    Abstract: As the popularity of quantum computing continues to grow, efficient quantum machine access over the cloud is critical to both academic and industry researchers across the globe. And as cloud quantum computing demands increase exponentially, the analysis of resource consumption and execution characteristics are key to efficient management of jobs and resources at both the vendor-end as well as the… ▽ More

    Submitted 24 March, 2022; originally announced March 2022.

    Comments: Appeared at the 2021 IEEE International Conference on Quantum Computing and Engineering. arXiv admin note: text overlap with arXiv:2203.13121. substantial text overlap with arXiv:2203.13121

  49. arXiv:2203.13121  [pdf, other

    quant-ph cs.PF

    Quantum Computing in the Cloud: Analyzing job and machine characteristics

    Authors: Gokul Subramanian Ravi, Kaitlin N. Smith, Pranav Gokhale, Frederic T. Chong

    Abstract: As the popularity of quantum computing continues to grow, quantum machine access over the cloud is critical to both academic and industry researchers across the globe. And as cloud quantum computing demands increase exponentially, the analysis of resource consumption and execution characteristics are key to efficient management of jobs and resources at both the vendor-end as well as the client-end… ▽ More

    Submitted 24 March, 2022; originally announced March 2022.

    Comments: Appeared at the 2021 IEEE International Symposium on Workload Characterization

  50. arXiv:2203.12713  [pdf, other

    quant-ph cs.ET

    Optimized Quantum Program Execution Ordering to Mitigate Errors in Simulations of Quantum Systems

    Authors: Teague Tomesh, Kaiwen Gui, Pranav Gokhale, Yunong Shi, Frederic T. Chong, Margaret Martonosi, Martin Suchara

    Abstract: Simulating the time evolution of a physical system at quantum mechanical levels of detail -- known as Hamiltonian Simulation (HS) -- is an important and interesting problem across physics and chemistry. For this task, algorithms that run on quantum computers are known to be exponentially faster than classical algorithms; in fact, this application motivated Feynman to propose the construction of qu… ▽ More

    Submitted 23 March, 2022; originally announced March 2022.

    Comments: 13 pages, 7 figures, Awarded Best Paper during the IEEE International Conference on Rebooting Computing (ICRC) 2021