Skip to main content

Showing 1–11 of 11 results for author: Chhabria, V A

.
  1. arXiv:2405.06676  [pdf, other

    cs.CL cs.AI cs.AR

    EDA Corpus: A Large Language Model Dataset for Enhanced Interaction with OpenROAD

    Authors: Bing-Yue Wu, Utsav Sharma, Sai Rahul Dhanvi Kankipati, Ajay Yadav, Bintu Kappil George, Sai Ritish Guntupalli, Austin Rovinski, Vidya A. Chhabria

    Abstract: Large language models (LLMs) serve as powerful tools for design, providing capabilities for both task automation and design assistance. Recent advancements have shown tremendous potential for facilitating LLM integration into the chip design process; however, many of these works rely on data that are not publicly available and/or not permissively licensed for use in LLM training and distribution.… ▽ More

    Submitted 4 May, 2024; originally announced May 2024.

    Comments: Under review at Workshop on LLM-Aided Design (LAD'24)

  2. arXiv:2402.07781  [pdf, other

    cs.AR cs.LG

    IR-Aware ECO Timing Optimization Using Reinforcement Learning

    Authors: Vidya A. Chhabria, Wen**g Jiang, Sachin S. Sapatnekar

    Abstract: Engineering change orders (ECOs) in late stages make minimal design fixes to recover from timing shifts due to excessive IR drops. This paper integrates IR-drop-aware timing analysis and ECO timing optimization using reinforcement learning (RL). The method operates after physical design and power grid synthesis, and rectifies IR-drop-induced timing degradation through gate sizing. It incorporates… ▽ More

    Submitted 12 February, 2024; originally announced February 2024.

  3. arXiv:2311.12396  [pdf, other

    cs.AR

    GreenFPGA: Evaluating FPGAs as Environmentally Sustainable Computing Solutions

    Authors: Chetan Choppali Sudarshan, Aman Arora, Vidya A. Chhabria

    Abstract: Growing global concerns about climate change highlight the need for environmentally sustainable computing. The ecological impact of computing, including operational and embodied, is a key consideration. Field Programmable Gate Arrays (FPGAs) stand out as promising sustainable computing platforms due to their reconfigurability across various applications. This paper introduces GreenFPGA, a tool est… ▽ More

    Submitted 21 November, 2023; originally announced November 2023.

    Comments: Under review at DAC 2024

  4. arXiv:2306.09434  [pdf, other

    cs.AR

    ECO-CHIP: Estimation of Carbon Footprint of Chiplet-based Architectures for Sustainable VLSI

    Authors: Chetan Choppali Sudarshan, Nikhil Matkar, Sarma Vrudhula, Sachin S. Sapatnekar, Vidya A. Chhabria

    Abstract: Decades of progress in energy-efficient and low-power design have successfully reduced the operational carbon footprint in the semiconductor industry. However, this has led to an increase in embodied emissions, encompassing carbon emissions arising from design, manufacturing, packaging, and other infrastructural activities. While existing research has developed tools to analyze embodied carbon at… ▽ More

    Submitted 14 February, 2024; v1 submitted 15 June, 2023; originally announced June 2023.

    Comments: Accepted at International Symposium on High-Performance Computer Architecture (HPCA)

  5. arXiv:2305.06917  [pdf, other

    cs.AR cs.AI cs.LG

    A Machine Learning Approach to Improving Timing Consistency between Global Route and Detailed Route

    Authors: Vidya A. Chhabria, Wen**g Jiang, Andrew B. Kahng, Sachin S. Sapatnekar

    Abstract: Due to the unavailability of routing information in design stages prior to detailed routing (DR), the tasks of timing prediction and optimization pose major challenges. Inaccurate timing prediction wastes design effort, hurts circuit performance, and may lead to design failure. This work focuses on timing prediction after clock tree synthesis and placement legalization, which is the earliest oppor… ▽ More

    Submitted 2 October, 2023; v1 submitted 11 May, 2023; originally announced May 2023.

  6. arXiv:2112.13451  [pdf, other

    cs.AR

    A Linear-Time Algorithm for Steady-State Analysis of Electromigration in General Interconnects

    Authors: Mohammad Abdullah Al Shohel, Vidya A. Chhabria, Sachin S. Sapatnekar

    Abstract: Electromigration (EM) is a key reliability issue in deeply scaled technology nodes. Traditional EM methods first filter immortal wires using the Blech criterion, and then perform EM analysis based on Black's equation on the remaining wires. The Blech criterion is based on finding the steady-state stress in a two-terminal wire segment, but most on-chip structures are considerably more complex. Curr… ▽ More

    Submitted 26 December, 2021; originally announced December 2021.

    Comments: arXiv admin note: substantial text overlap with arXiv:2105.08784

  7. arXiv:2110.14197  [pdf, other

    cs.AR cs.LG

    Encoder-Decoder Networks for Analyzing Thermal and Power Delivery Networks

    Authors: Vidya A. Chhabria, Vipul Ahuja, Ashwath Prabhu, Nikhil Patil, Palkesh Jain, Sachin S. Sapatnekar

    Abstract: Power delivery network (PDN) analysis and thermal analysis are computationally expensive tasks that are essential for successful IC design. Algorithmically, both these analyses have similar computational structure and complexity as they involve the solution to a partial differential equation of the same form. This paper converts these analyses into image-to-image and sequence-to-sequence translati… ▽ More

    Submitted 27 October, 2021; originally announced October 2021.

    Comments: 26 pages, 17 figures, Submitted to TODAES for review. arXiv admin note: text overlap with arXiv:2009.09009

  8. arXiv:2110.14184  [pdf, other

    cs.AR cs.LG

    OpeNPDN: A Neural-network-based Framework for Power Delivery Network Synthesis

    Authors: Vidya A. Chhabria, Sachin S. Sapatnekar

    Abstract: Power delivery network (PDN) design is a nontrivial, time-intensive, and iterative task. Correct PDN design must account for considerations related to power bumps, currents, blockages, and signal congestion distribution patterns. This work proposes a machine learning-based methodology that employs a set of predefined PDN templates. At the floorplan stage, coarse estimates of current, congestion, m… ▽ More

    Submitted 27 October, 2021; originally announced October 2021.

    Comments: 14 pages, 20 figures, is currently under review at IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems

  9. arXiv:2105.08784  [pdf, other

    cs.AR

    A New, Computationally Efficient "Blech Criterion" for Immortality in General Interconnects

    Authors: Mohammad Abdullah Al Shohel, Vidya A. Chhabria, Sachin S. Sapatnekar

    Abstract: Traditional methodologies for analyzing electromigration (EM) in VLSI circuits first filter immortal wires using Blech's criterion, and then perform detailed EM analysis on the remaining wires. However, Blech's criterion was designed for two-terminal wires and does not extend to general structures. This paper demonstrates a first-principles-based solution technique for determining the steady-state… ▽ More

    Submitted 18 May, 2021; originally announced May 2021.

    Comments: Accepted for publication in the Proceedings of the ACM/IEEE Design Automation Conference, 2021

  10. arXiv:2012.10597  [pdf, other

    cs.AR

    MAVIREC: ML-Aided Vectored IR-DropEstimation and Classification

    Authors: Vidya A. Chhabria, Yanqing Zhang, Haoxing Ren, Ben Keller, Brucek Khailany, Sachin S. Sapatnekar

    Abstract: Vectored IR drop analysis is a critical step in chip signoff that checks the power integrity of an on-chip power delivery network. Due to the prohibitive runtimes of dynamic IR drop analysis, the large number of test patterns must be whittled down to a small subset of worst-case IR vectors. Unlike the traditional slow heuristic method that select a few vectors with incomplete coverage, MAVIREC use… ▽ More

    Submitted 18 December, 2020; originally announced December 2020.

    Comments: 6 pages paper. This has been reviewed at Design Automation and Test Conference 2021 and has been accepted as a four page paper. This is a longer version of that

  11. arXiv:2009.09009  [pdf, other

    cs.AR cs.AI cs.LG

    Thermal and IR Drop Analysis Using Convolutional Encoder-Decoder Networks

    Authors: Vidya A. Chhabria, Vipul Ahuja, Ashwath Prabhu, Nikhil Patil, Palkesh Jain, Sachin S. Sapatnekar

    Abstract: Computationally expensive temperature and power grid analyses are required during the design cycle to guide IC design. This paper employs encoder-decoder based generative (EDGe) networks to map these analyses to fast and accurate image-to-image and sequence-to-sequence translation tasks. The network takes a power map as input and outputs the corresponding temperature or IR drop map. We propose two… ▽ More

    Submitted 18 September, 2020; originally announced September 2020.

    Comments: Accepted in ASP-DAC 2021 conference