-
New Spin Structure Constraints on Hyperfine Splitting and Proton Size
Authors:
David Ruth,
Karl Slifer,
Jian-** Chen,
Carl E. Carlson,
Franziska Hagelstein,
Vladimir Pascalutsa,
Alexandre Deur,
Sebastian Kuhn,
Marco Ripani,
Xiaochao Zheng,
Ryan Zielinski,
Chao Gu
Abstract:
The 1S hyperfine splitting in hydrogen is measured to an impressive ppt precision and will soon be measured to ppm precision in muonic hydrogen. The latter measurement will rely on theoretical predictions, which are limited by knowledge of the proton polarizability effect $Δ_\text{pol}$. Data-driven evaluations of $Δ_\text{pol}$ have long been in significant tension with baryon chiral perturbation…
▽ More
The 1S hyperfine splitting in hydrogen is measured to an impressive ppt precision and will soon be measured to ppm precision in muonic hydrogen. The latter measurement will rely on theoretical predictions, which are limited by knowledge of the proton polarizability effect $Δ_\text{pol}$. Data-driven evaluations of $Δ_\text{pol}$ have long been in significant tension with baryon chiral perturbation theory. Here we present improved results for $Δ_\text{pol}$ driven by new spin structure data, reducing the long-standing tension between theory and experiment and halving the dominating uncertainty in hyperfine splitting calculations.
△ Less
Submitted 26 June, 2024;
originally announced June 2024.
-
Providing High-Performance Execution with a Sequential Contract for Cryptographic Programs
Authors:
Ali Hajiabadi,
Trevor E. Carlson
Abstract:
Constant-time programming is a widely deployed approach to harden cryptographic programs against side channel attacks. However, modern processors violate the underlying assumptions of constant-time policies by speculatively executing unintended paths of the program.
In this work, we propose Cassandra, a novel hardware-software mechanism to protect constant-time cryptographic code against specula…
▽ More
Constant-time programming is a widely deployed approach to harden cryptographic programs against side channel attacks. However, modern processors violate the underlying assumptions of constant-time policies by speculatively executing unintended paths of the program.
In this work, we propose Cassandra, a novel hardware-software mechanism to protect constant-time cryptographic code against speculative control flow based attacks. Cassandra explores the radical design point of disabling the branch predictor and recording-and-replaying sequential control flow of the program. Two key insights that enable our design are that (1) the sequential control flow of a constant-time program is constant over different runs, and (2) cryptographic programs are highly looped and their control flow patterns repeat in a highly compressible way. These insights allow us to perform an offline branch analysis that significantly compresses control flow traces. We add a small component to a typical processor design, the Branch Trace Unit, to store compressed traces and determine fetch redirections according to the sequential model of the program. Moreover, we provide a formal security analysis and prove that our methodology adheres to a strong security contract by design. Despite providing a higher security guarantee, Cassandra counter-intuitively improves performance by 1.77% by eliminating branch misprediction penalties.
△ Less
Submitted 6 June, 2024;
originally announced June 2024.
-
Fully Randomized Pointers
Authors:
Gregory J. Duck,
Sai Dhawal Phaye,
Roland H. C. Yap,
Trevor E. Carlson
Abstract:
Software security continues to be a critical concern for programs implemented in low-level programming languages such as C and C++. Many defenses have been proposed in the current literature, each with different trade-offs including performance, compatibility, and attack resistance. One general class of defense is pointer randomization or authentication, where invalid object access (e.g., memory e…
▽ More
Software security continues to be a critical concern for programs implemented in low-level programming languages such as C and C++. Many defenses have been proposed in the current literature, each with different trade-offs including performance, compatibility, and attack resistance. One general class of defense is pointer randomization or authentication, where invalid object access (e.g., memory errors) is obfuscated or denied. Many defenses rely on the program termination (e.g., crashing) to abort attacks, with the implicit assumption that an adversary cannot "brute force" the defense with multiple attack attempts. However, such assumptions do not always hold, such as hardware speculative execution attacks or network servers configured to restart on error. In such cases, we argue that most existing defenses provide only weak effective security.
In this paper, we propose Fully Randomized Pointers (FRP) as a stronger memory error defense that is resistant to even brute force attacks. The key idea is to fully randomize pointer bits -- as much as possible while also preserving binary compatibility -- rendering the relationships between pointers highly unpredictable. Furthermore, the very high degree of randomization renders brute force attacks impractical -- providing strong effective security compared to existing work. We design a new FRP encoding that is: (1) compatible with existing binary code (without recompilation); (2) decoupled from the underlying object layout; and (3) can be efficiently decoded on-the-fly to the underlying memory address. We prototype FRP in the form of a software implementation (BlueFat) to test security and compatibility, and a proof-of-concept hardware implementation (GreenFat) to evaluate performance. We show that FRP is secure, practical, and compatible at the binary level, while a hardware implementation can achieve low performance overheads (<10%).
△ Less
Submitted 21 May, 2024;
originally announced May 2024.
-
Pac-Sim: Simulation of Multi-threaded Workloads using Intelligent, Live Sampling
Authors:
Changxi Liu,
Alen Sabu,
Akanksha Chaudhari,
Qingxuan Kang,
Trevor E. Carlson
Abstract:
High-performance, multi-core processors are the key to accelerating workloads in several application domains. To continue to scale performance at the limit of Moore's Law and Dennard scaling, software and hardware designers have turned to dynamic solutions that adapt to the needs of applications in a transparent, automatic way. For example, modern hardware improves its performance and power effici…
▽ More
High-performance, multi-core processors are the key to accelerating workloads in several application domains. To continue to scale performance at the limit of Moore's Law and Dennard scaling, software and hardware designers have turned to dynamic solutions that adapt to the needs of applications in a transparent, automatic way. For example, modern hardware improves its performance and power efficiency by changing the hardware configuration, like the frequency and voltage of cores, according to a number of parameters such as the technology used, the workload running, etc. With this level of dynamism, it is essential to simulate next-generation multi-core processors in a way that can both respond to system changes and accurately determine system performance metrics. Currently, no sampled simulation platform can achieve these goals of dynamic, fast, and accurate simulation of multi-threaded workloads.
In this work, we propose a solution that allows for fast, accurate simulation in the presence of both hardware and software dynamism. To accomplish this goal, we present Pac-Sim, a novel sampled simulation methodology for fast, accurate sampled simulation that requires no upfront analysis of the workload. With our proposed methodology, it is now possible to simulate long-running dynamically scheduled multi-threaded programs with significant simulation speedups even in the presence of dynamic hardware events. We evaluate Pac-Sim using the multi-threaded SPEC CPU2017, NPB, and PARSEC benchmarks with both static and dynamic thread scheduling. The experimental results show that Pac-Sim achieves a very low sampling error of 1.63% and 3.81% on average for statically and dynamically scheduled benchmarks, respectively. Pac-Sim also demonstrates significant simulation speedups as high as 523.5$\times$ (210.3$\times$ on average) for the train input set of SPEC CPU2017.
△ Less
Submitted 25 October, 2023;
originally announced October 2023.
-
Large time behaviour of the 2D thermally non-diffusive Boussinesq equations with Navier-slip boundary conditions
Authors:
Fabian Bleitner,
Elizabeth Carlson,
Camilla Nobili
Abstract:
The goal of this paper is to study the large-time behaviour of a buoyancy driven fluid without thermal diffusion and Navier-slip boundary conditions in a bounded domain with Lipschitz-continuous second derivatives. After showing improved regularity of classical solutions, we study their large-time asymptotics. Specifically we prove that, in suitable norms, the solution converges to the hydrostatic…
▽ More
The goal of this paper is to study the large-time behaviour of a buoyancy driven fluid without thermal diffusion and Navier-slip boundary conditions in a bounded domain with Lipschitz-continuous second derivatives. After showing improved regularity of classical solutions, we study their large-time asymptotics. Specifically we prove that, in suitable norms, the solution converges to the hydrostatic equilibrium. Moreover, we prove linear stability for the hydrostatic equilibrium when the temperature is an increasing affine function of the height, i.e. the temperature is vertically stably stratified. This work is inspired by results in [Doe+18] for free-slip boundary conditions.
△ Less
Submitted 14 February, 2024; v1 submitted 11 September, 2023;
originally announced September 2023.
-
Advanced Computing and Related Applications Leveraging Brain-inspired Spiking Neural Networks
Authors:
Lyuyang Sima,
Joseph Bucukovski,
Erwan Carlson,
Nicole L. Yien
Abstract:
In the rapid evolution of next-generation brain-inspired artificial intelligence and increasingly sophisticated electromagnetic environment, the most bionic characteristics and anti-interference performance of spiking neural networks show great potential in terms of computational speed, real-time information processing, and spatio-temporal information processing. Data processing. Spiking neural ne…
▽ More
In the rapid evolution of next-generation brain-inspired artificial intelligence and increasingly sophisticated electromagnetic environment, the most bionic characteristics and anti-interference performance of spiking neural networks show great potential in terms of computational speed, real-time information processing, and spatio-temporal information processing. Data processing. Spiking neural network is one of the cores of brain-like artificial intelligence, which realizes brain-like computing by simulating the structure and information transfer mode of biological neural networks. This paper summarizes the strengths, weaknesses and applicability of five neuronal models and analyzes the characteristics of five network topologies; then reviews the spiking neural network algorithms and summarizes the unsupervised learning algorithms based on synaptic plasticity rules and four types of supervised learning algorithms from the perspectives of unsupervised learning and supervised learning; finally focuses on the review of brain-like neuromorphic chips under research at home and abroad. This paper is intended to provide learning concepts and research orientations for the peers who are new to the research field of spiking neural networks through systematic summaries.
△ Less
Submitted 8 September, 2023;
originally announced September 2023.
-
Mitigating Speculation-based Attacks through Configurable Hardware/Software Co-design
Authors:
Ali Hajiabadi,
Archit Agarwal,
Andreas Diavastos,
Trevor E. Carlson
Abstract:
New speculation-based attacks that affect large numbers of modern systems are disclosed regularly. Currently, CPU vendors regularly fall back to heavy-handed mitigations like using barriers or enforcing strict programming guidelines resulting in significant performance overhead. What is missing is a solution that allows for efficient mitigation and is flexible enough to address both current and fu…
▽ More
New speculation-based attacks that affect large numbers of modern systems are disclosed regularly. Currently, CPU vendors regularly fall back to heavy-handed mitigations like using barriers or enforcing strict programming guidelines resulting in significant performance overhead. What is missing is a solution that allows for efficient mitigation and is flexible enough to address both current and future speculation vulnerabilities, without additional hardware changes.
In this work, we present SpecControl, a novel hardware/software co-design, that enables new levels of security while reducing the performance overhead that has been demonstrated by state-of-the-art methodologies. SpecControl introduces a communication interface that allows compilers and application developers to inform the hardware about true branch dependencies, confidential control-flow instructions, and fine-grained instruction constraints in order to apply restrictions only when necessary. We evaluate SpecControl against known speculative execution attacks and in addition, present a new speculative fetch attack variant on the Pattern History Table (PHT) in branch predictors that shows how similar previously reported vulnerabilities are more dangerous by enabling unprivileged attacks, especially with the state-of-the-art branch predictors. SpecControl provides stronger security guarantees compared to the existing defenses while reducing the performance overhead of two state-of-the-art defenses from 51% and 43% to just 23%.
△ Less
Submitted 20 June, 2023;
originally announced June 2023.
-
New Cross-Core Cache-Agnostic and Prefetcher-based Side-Channels and Covert-Channels
Authors:
Yun Chen,
Ali Hajiabadi,
Lingfeng Pei,
Trevor E. Carlson
Abstract:
In this paper, we reveal the existence of a new class of prefetcher, the XPT prefetcher, in the modern Intel processors which has never been officially documented. It speculatively issues a load, bypassing last-level cache (LLC) lookups, when it predicts that a load request will result in an LLC miss. We demonstrate that XPT prefetcher is shared among different cores, which enables an attacker to…
▽ More
In this paper, we reveal the existence of a new class of prefetcher, the XPT prefetcher, in the modern Intel processors which has never been officially documented. It speculatively issues a load, bypassing last-level cache (LLC) lookups, when it predicts that a load request will result in an LLC miss. We demonstrate that XPT prefetcher is shared among different cores, which enables an attacker to build cross-core side-channel and covert-channel attacks. We propose PrefetchX, a cross-core attack mechanism, to leak users' sensitive data and activities.
We empirically demonstrate that PrefetchX can be used to extract private keys of real-world RSA applications. Furthermore, we show that PrefetchX can enable side-channel attacks that can monitor keystrokes and network traffic patterns of users. Our two cross-core covert-channel attacks also see a low error rate and a 1.7MB/s maximum channel capacity. Due to the cache-independent feature of PrefetchX, current cache-based mitigations are not effective against our attacks. Overall, our work uncovers a significant vulnerability in the XPT prefetcher, which can be exploited to compromise the confidentiality of sensitive information in both crypto and non-crypto-related applications among processor cores.
△ Less
Submitted 19 June, 2023;
originally announced June 2023.
-
Super-exponential convergence rate of a nonlinear continuous data assimilation algorithm: The 2D Navier-Stokes equations paradigm
Authors:
Elizabeth Carlson,
Adam Larios,
Edriss S. Titi
Abstract:
We study a nonlinear-nudging modification of the Azouani-Olson-Titi continuous data assimilation (downscaling) algorithm for the 2D incompressible Navier-Stokes equations. We give a rigorous proof that the nonlinear-nudging system is globally well-posed, and moreover that its solutions converge to the true solution exponentially fast in time. Furthermore, we also prove that, once the error has dec…
▽ More
We study a nonlinear-nudging modification of the Azouani-Olson-Titi continuous data assimilation (downscaling) algorithm for the 2D incompressible Navier-Stokes equations. We give a rigorous proof that the nonlinear-nudging system is globally well-posed, and moreover that its solutions converge to the true solution exponentially fast in time. Furthermore, we also prove that, once the error has decreased below a certain order one threshold, the convergence becomes double-exponentially fast in time, up until a precision determined by the sparsity of the observed data. In addition, we demonstrate the applicability of the analytical and sharpness of the results computationally.
△ Less
Submitted 3 April, 2023;
originally announced April 2023.
-
Capstone: A Capability-based Foundation for Trustless Secure Memory Access (Extended Version)
Authors:
Jason Zhi**gcheng Yu,
Conrad Watt,
Aditya Badole,
Trevor E. Carlson,
Prateek Saxena
Abstract:
Capability-based memory isolation is a promising new architectural primitive. Software can access low-level memory only via capability handles rather than raw pointers, which provides a natural interface to enforce security restrictions. Existing architectural capability designs such as CHERI provide spatial safety, but fail to extend to other memory models that security-sensitive software designs…
▽ More
Capability-based memory isolation is a promising new architectural primitive. Software can access low-level memory only via capability handles rather than raw pointers, which provides a natural interface to enforce security restrictions. Existing architectural capability designs such as CHERI provide spatial safety, but fail to extend to other memory models that security-sensitive software designs may desire. In this paper, we propose Capstone, a more expressive architectural capability design that supports multiple existing memory isolation models in a trustless setup, i.e., without relying on trusted software components. We show how Capstone is well-suited for environments where privilege boundaries are fluid (dynamically extensible), memory sharing/delegation are desired both temporally and spatially, and where such needs are to be balanced with availability concerns. Capstone can also be implemented efficiently. We present an implementation sketch and through evaluation show that its overhead is below 50% in common use cases. We also prototype a functional emulator for Capstone and use it to demonstrate the runnable implementations of six real-world memory models without trusted software components: three types of enclave-based TEEs, a thread scheduler, a memory allocator, and Rust-style memory safety -- all within the interface of Capstone.
△ Less
Submitted 9 March, 2023; v1 submitted 27 February, 2023;
originally announced February 2023.
-
Correlative map** of local hysteresis properties in VO$_2$
Authors:
Melissa Alzate Banguero,
Sayan Basak,
Nicolas Raymond,
Forrest Simmons,
Pavel Salev,
Ivan K. Schuller,
Lionel Aigouy,
Erica W. Carlson,
Alexandre Zimmers
Abstract:
We have developed a new optical microscopy technique able to track micron-sized surface clusters as temperature is varied. Potential candidates for study include phase separated metal-insulator materials, ferroelectrics, and porous structures. Several key techniques (including autofocus, step motor/cross correlation alignments, single-pixel thresholding, pair connectivity correlation length and im…
▽ More
We have developed a new optical microscopy technique able to track micron-sized surface clusters as temperature is varied. Potential candidates for study include phase separated metal-insulator materials, ferroelectrics, and porous structures. Several key techniques (including autofocus, step motor/cross correlation alignments, single-pixel thresholding, pair connectivity correlation length and image convolution) were implemented in order to obtain a time series of thresholded images. Here, we apply this new method to probe the archetypal phase separated insulator-metal transition in VO$_2$. A precise time and temperature series of the insulator-metal transition was achieved, allowing us to construct for the first time in this material spatial maps of the transition temperature T$_c$. These maps reveal multiple interesting features such as fractal electronic patterns on micron scales, regions of the sample with an extremely large or nearly absent local hysteresis, a positive correlation between the T$_c$ value and the hysteresis width $Δ$T$_c$, and high cycle-to-cycle reproducibility of the transition. These maps also allow for the identification of individual pixels with unique transition characteristics. This unprecedented knowledge of the local properties of each spot along with the behavior of the entire network paves the way to novel electronics applications enabled by, {\em e.g.}, addressing specific regions with desired memory and/or switching characteristics, as well as detailed explorations of open questions in the theory of hysteresis.
△ Less
Submitted 10 January, 2023;
originally announced January 2023.
-
Deep Learning Hamiltonians from Disordered Image Data in Quantum Materials
Authors:
S. Basak,
M. Alzate Banguero,
L. Burzawa,
F. Simmons,
P. Salev,
L. Aigouy,
M. M. Qazilbash,
I. K. Schuller,
D. N. Basov,
A. Zimmers,
E. W. Carlson
Abstract:
The capabilities of image probe experiments are rapidly expanding, providing new information about quantum materials on unprecedented length and time scales. Many such materials feature inhomogeneous electronic properties with intricate pattern formation on the observable surface. This rich spatial structure contains information about interactions, dimensionality, and disorder -- a spatial encodin…
▽ More
The capabilities of image probe experiments are rapidly expanding, providing new information about quantum materials on unprecedented length and time scales. Many such materials feature inhomogeneous electronic properties with intricate pattern formation on the observable surface. This rich spatial structure contains information about interactions, dimensionality, and disorder -- a spatial encoding of the Hamiltonian driving the pattern formation. Image recognition techniques from machine learning are an excellent tool for interpreting information encoded in the spatial relationships in such images. Here, we develop a deep learning framework for using the rich information available in these spatial correlations in order to discover the underlying Hamiltonian driving the patterns. We first vet the method on a known case, scanning near-field optical microscopy on a thin film of VO2. We then apply our trained convolutional neural network architecture to new optical microscope images of a different VO2 film as it goes through the metal-insulator transition. We find that a two-dimensional Hamiltonian with both interactions and random field disorder is required to explain the intricate, fractal intertwining of metal and insulator domains during the transition. This detailed knowledge about the underlying Hamiltonian paves the way to using the model to control the pattern formation via, e.g., tailored hysteresis protocols. We also introduce a distribution-based confidence measure on the results of a multi-label classifier, which does not rely on adversarial training. In addition, we propose a new machine learning based criterion for diagnosing a physical system's proximity to criticality.
△ Less
Submitted 2 November, 2022;
originally announced November 2022.
-
An Analytical Model for Stepwise Adiabatic Driver Energy Consumption
Authors:
Eric J. Carlson,
Joshua R. Smith
Abstract:
This paper presents a complete closed-form analytical model for determining the per-cycle energy consumption of stepwise adiabatic drivers used for driving a capacitive load such as a power FET gate. The model takes into account the number of steps used, the stepwise driver tank capacitance, the load capacitance, and the stepwise driver switch resistance and on-time. Model accuracy is compared to…
▽ More
This paper presents a complete closed-form analytical model for determining the per-cycle energy consumption of stepwise adiabatic drivers used for driving a capacitive load such as a power FET gate. The model takes into account the number of steps used, the stepwise driver tank capacitance, the load capacitance, and the stepwise driver switch resistance and on-time. Model accuracy is compared to that of simulation and models from previous work.
△ Less
Submitted 29 October, 2022;
originally announced October 2022.
-
Superkicks and momentum density tests via micromanipulation
Authors:
Andrei Afanasev,
Carl E. Carlson,
Asmita Mukherjee
Abstract:
There is an unsettled problem in choosing the correct expressions for the local momentum density and angular momentum density of electromagnetic fields (or indeed, of any non-scalar field). If one only examines plane waves, the problem is moot, as the known possible expressions all give the same result. The momentum and angular momentum density expressions are generally obtained from the energy-mo…
▽ More
There is an unsettled problem in choosing the correct expressions for the local momentum density and angular momentum density of electromagnetic fields (or indeed, of any non-scalar field). If one only examines plane waves, the problem is moot, as the known possible expressions all give the same result. The momentum and angular momentum density expressions are generally obtained from the energy-momentum tensor, in turn obtained from a Lagrangian. The electrodynamic expressions obtained by the canonical procedure are not the same as the symmetric Belinfante reworking. For the interaction of matter with structured light, for example, twisted photons, this is important; there are drastically different predictions for forces and angular momenta induced on small test objects. We show situations where the two predictions can be checked, with numerical estimates of the size of the effects.
△ Less
Submitted 1 September, 2022;
originally announced September 2022.
-
Argument for the radiation-dominated behavior of matter fields in the preinflationary era
Authors:
Taylor M. Ordines,
Eric D. Carlson
Abstract:
We investigate the leading-order behavior of matter fields in the preinflationary era using the semiclassical approximation. Many inflationary models assume without supporting arguments that the Universe was radiation dominated prior to inflation, leading to modifications of cosmological observables, such as the Cosmic Microwave Background power spectrum. In previous work, we demonstrated that con…
▽ More
We investigate the leading-order behavior of matter fields in the preinflationary era using the semiclassical approximation. Many inflationary models assume without supporting arguments that the Universe was radiation dominated prior to inflation, leading to modifications of cosmological observables, such as the Cosmic Microwave Background power spectrum. In previous work, we demonstrated that conformally coupled scalar fields do have a radiation-like contribution to the stress-energy tensor at sufficiently early times. In this work, we extend these arguments to apply to massless spin-1 fields and massive or massless spin-$\frac{1}{2}$ fields. We find massless spin-1 fields always have a radiation-like contribution. For spin-$\frac{1}{2}$ fields, we find the contribution at early times is radiation-like assuming this is the dominant contribution to the stress-energy tensor.
△ Less
Submitted 12 September, 2022; v1 submitted 2 September, 2022;
originally announced September 2022.
-
Vorticity of Twisted Spinor Fields
Authors:
Andrei Afanasev,
Carl E. Carlson,
Asmita Mukherjee
Abstract:
Spinor fields with a vortex structure in free space that allow them to have arbitrary integer orbital angular momentum along the direction of motion have been studied for some time. Relatively new is the observation in a certain context that the vortex center of this field structure is, unlike a classical whirlpool, not singular. We point out that there are several ways to calculate the local velo…
▽ More
Spinor fields with a vortex structure in free space that allow them to have arbitrary integer orbital angular momentum along the direction of motion have been studied for some time. Relatively new is the observation in a certain context that the vortex center of this field structure is, unlike a classical whirlpool, not singular. We point out that there are several ways to calculate the local velocity of the spinor field and that all but one show a singular vorticity at the vortex line. That one, using the Dirac bilinear current with no derivatives, is the only one so far (to our knowledge) studied in the literature in this context and we further show how to understand an apparent conflict in the existing results.
△ Less
Submitted 17 August, 2022;
originally announced August 2022.
-
Comments and extensions of a suggestion for a relativistic charge density definition
Authors:
Carl E. Carlson
Abstract:
A recent suggested definition of a relativistically correct three dimensional charge density of an extended hadron is shown to be physically and intuitively connected to an earlier relativistically correct two dimensional charge density studied in the context of light-front physics. Looking at spin-1/2 hadrons, such a connection is shown to exist for both the polarized and unpolarized cases.
A recent suggested definition of a relativistically correct three dimensional charge density of an extended hadron is shown to be physically and intuitively connected to an earlier relativistically correct two dimensional charge density studied in the context of light-front physics. Looking at spin-1/2 hadrons, such a connection is shown to exist for both the polarized and unpolarized cases.
△ Less
Submitted 20 October, 2023; v1 submitted 1 August, 2022;
originally announced August 2022.
-
Numerical Approximation Methods for Antenna Radiation Patterns for Motus Wildlife Tracking Systems
Authors:
Erik Carlson,
Douglas Gobeille,
Robert Deluca,
Pam Loring
Abstract:
As plans for offshore wind energy development increase in the US, the develo** methods to monitor migratory birds and bats offshore is an important area of research. To contribute to this research, current guidance recommends the deployment of Motus Wildlife Tracking stations by each developer for pre- and post- construction monitoring. To understand the characteristics of each of the stations,…
▽ More
As plans for offshore wind energy development increase in the US, the develo** methods to monitor migratory birds and bats offshore is an important area of research. To contribute to this research, current guidance recommends the deployment of Motus Wildlife Tracking stations by each developer for pre- and post- construction monitoring. To understand the characteristics of each of the stations, calibration techniques are recommended for each deployment. Despite this, previous attempts to calibrate these stations has failed to provide sufficient detail to allow for high-resolution tracking techniques. In this paper, we introduce an affordable and robust methodology to calibrate stations in the off-shore environment and develop processes to turn this raw calibration data into a numerical approximation of the antennas radiation pattern.
△ Less
Submitted 29 August, 2022; v1 submitted 28 June, 2022;
originally announced July 2022.
-
Multiply-and-Fire (MNF): An Event-driven Sparse Neural Network Accelerator
Authors:
Miao Yu,
Tingting Xiang,
Venkata Pavan Kumar Miriyala,
Trevor E. Carlson
Abstract:
Machine learning, particularly deep neural network inference, has become a vital workload for many computing systems, from data centers and HPC systems to edge-based computing. As advances in sparsity have helped improve the efficiency of AI acceleration, there is a continued need for improved system efficiency for both high-performance and system-level acceleration.
This work takes a unique loo…
▽ More
Machine learning, particularly deep neural network inference, has become a vital workload for many computing systems, from data centers and HPC systems to edge-based computing. As advances in sparsity have helped improve the efficiency of AI acceleration, there is a continued need for improved system efficiency for both high-performance and system-level acceleration.
This work takes a unique look at sparsity with an event (or activation-driven) approach to ANN acceleration that aims to minimize useless work, improve utilization, and increase performance and energy efficiency. Our analytical and experimental results show that this event-driven solution presents a new direction to enable highly efficient AI inference for both CNN and MLP workloads.
This work demonstrates state-of-the-art energy efficiency and performance centring on activation-based sparsity and a highly-parallel dataflow method that improves the overall functional unit utilization (at 30 fps). This work enhances energy efficiency over a state-of-the-art solution by 1.46$\times$. Taken together, this methodology presents a novel, new direction to achieve high-efficiency, high-performance designs for next-generation AI acceleration platforms.
△ Less
Submitted 20 April, 2022;
originally announced April 2022.
-
Many Episode Learning in a Modular Embodied Agent via End-to-End Interaction
Authors:
Yuxuan Sun,
Ethan Carlson,
Rebecca Qian,
Kavya Srinet,
Arthur Szlam
Abstract:
In this work we give a case study of an embodied machine-learning (ML) powered agent that improves itself via interactions with crowd-workers. The agent consists of a set of modules, some of which are learned, and others heuristic. While the agent is not "end-to-end" in the ML sense, end-to-end interaction is a vital part of the agent's learning mechanism. We describe how the design of the agent w…
▽ More
In this work we give a case study of an embodied machine-learning (ML) powered agent that improves itself via interactions with crowd-workers. The agent consists of a set of modules, some of which are learned, and others heuristic. While the agent is not "end-to-end" in the ML sense, end-to-end interaction is a vital part of the agent's learning mechanism. We describe how the design of the agent works together with the design of multiple annotation interfaces to allow crowd-workers to assign credit to module errors from end-to-end interactions, and to label data for individual modules. Over multiple automated human-agent interaction, credit assignment, data annotation, and model re-training and re-deployment, rounds we demonstrate agent improvement.
△ Less
Submitted 10 January, 2023; v1 submitted 19 April, 2022;
originally announced April 2022.
-
Superkicks and the photon angular and linear momentum density
Authors:
Andrei Afanasev,
Carl E. Carlson,
Asmita Mukherjee
Abstract:
We address a problem of proper definition of momentum density for spatially structured electromagnetic fields. We show that the expressions for the momentum and angular momentum obtained locally are not the same when one uses the canonical energy-momentum tensor instead of the symmetric Belinfante energy-momentum tensor in electrodynamics. This has important consequences for interaction of matter…
▽ More
We address a problem of proper definition of momentum density for spatially structured electromagnetic fields. We show that the expressions for the momentum and angular momentum obtained locally are not the same when one uses the canonical energy-momentum tensor instead of the symmetric Belinfante energy-momentum tensor in electrodynamics. This has important consequences for interaction of matter with structured light, for example, twisted photons; and would give drastically different results for forces and angular momenta induced on small test objects. We show, with numerical estimates of the size of the effects, situations where the canonical and symmetrized forms induce very different torques or (superkick) recoil momenta on small objects or atomic rotors, over a broad range of circumstances.
△ Less
Submitted 1 July, 2022; v1 submitted 19 February, 2022;
originally announced February 2022.
-
Direct measurement of optical properties of glacier ice using a photon-counting diffuse LiDAR
Authors:
Markus Allgaier,
Matthew G. Cooper,
Anders E. Carlson,
Sarah W. Cooley,
Jonathan C. Ryan,
Brian J. Smith
Abstract:
The production of meltwater from glacier ice, which is exposed at the margins of land ice during the summer, is responsible for a large proportion of glacier mass loss. The rate of meltwater production from glacier ice is especially sensitive to its physical structure and chemical composition which combine to determine the albedo of glacier ice. However, the optical properties of near-surface glac…
▽ More
The production of meltwater from glacier ice, which is exposed at the margins of land ice during the summer, is responsible for a large proportion of glacier mass loss. The rate of meltwater production from glacier ice is especially sensitive to its physical structure and chemical composition which combine to determine the albedo of glacier ice. However, the optical properties of near-surface glacier ice are not well known since most prior work has focused on ice made in the laboratory or from deep cores. Here, we demonstrate a measurement technique based on diffuse propagation of nanosecond-duration laser pulses in near-surface glacier ice that enables the independent measurement of the scattering and absorption coefficients, allowing for a complete description of the processes governing radiative transfer. We employ a photon-counting detector to overcome the high losses associated with diffuse optics. The instrument is highly portable and rugged, making it optimally suited for deployment in remote regions. A set of measurements taken on Collier Glacier, Oregon, serves as a demonstration of the technique. These measurements provide insight into both physical structure and composition of near-surface glacier ice and open new avenues for the analysis of light-absorbing impurities and remote sensing of the cryosphere.
△ Less
Submitted 24 February, 2022; v1 submitted 19 January, 2022;
originally announced January 2022.
-
Critical Nematic Correlations Throughout the Do** Range in BSCCO
Authors:
Can-Li Song,
Elizabeth J. Main,
Forrest Simmons,
Shuo Liu,
Benjamin Phillabaum,
Karin A. Dahmen,
E. W. Hudson,
Jennifer E. Hoffman,
E. W. Carlson
Abstract:
Charge modulations have been widely observed in cuprates, suggesting their centrality for understanding the high-$T_c$ superconductivity in these materials. However, the dimensionality of these modulations remains controversial, including whether their wavevector is unidirectional or bidirectional, and also whether they extend seamlessly from the surface of the material into the bulk. Material dis…
▽ More
Charge modulations have been widely observed in cuprates, suggesting their centrality for understanding the high-$T_c$ superconductivity in these materials. However, the dimensionality of these modulations remains controversial, including whether their wavevector is unidirectional or bidirectional, and also whether they extend seamlessly from the surface of the material into the bulk. Material disorder presents severe challenges to understanding the charge modulations through bulk scattering techniques. We use a local technique, scanning tunneling microscopy, to image the static charge modulations on Bi$_{2-z}$Pb$_z$Sr$_{2-y}$La$_y$CuO$_{6+x}$. By comparing the phase correlation length $ξ_{\mathrm{CDW}}$ with the orientation correlation length $ξ_{\mathrm{orient}}$, we show that the charge modulations are more consistent with an underlying unidirectional wave vector. Using cluster techniques, we show that these locally 1D charge modulations are actually a bulk effect resulting from 3D criticality throughout the entire superconducting do** range.
△ Less
Submitted 28 February, 2022; v1 submitted 9 November, 2021;
originally announced November 2021.
-
Large Monochromatic Components of Small Diameter
Authors:
Erik Carlson,
Ryan R. Martin,
Bo Peng,
Miklós Ruszinkó
Abstract:
Gyárfás conjectured in 2011 that every $r$-edge-colored $K_n$ contains a monochromatic component of bounded ("perhaps three") diameter on at least $n/(r-1)$ vertices.
Letzter proved this conjecture with diameter four.
In this note we improve the result in the case of $r=3$: We show that in every $3$-edge-coloring of $K_n$ either there is a monochromatic component of diameter at most three on a…
▽ More
Gyárfás conjectured in 2011 that every $r$-edge-colored $K_n$ contains a monochromatic component of bounded ("perhaps three") diameter on at least $n/(r-1)$ vertices.
Letzter proved this conjecture with diameter four.
In this note we improve the result in the case of $r=3$: We show that in every $3$-edge-coloring of $K_n$ either there is a monochromatic component of diameter at most three on at least $n/2$ vertices or every color class is spanning and has diameter at most four.
△ Less
Submitted 10 September, 2021;
originally announced September 2021.
-
Efficient Instruction Scheduling using Real-time Load Delay Tracking
Authors:
Andreas Diavastos,
Trevor E. Carlson
Abstract:
Many hardware structures in today's high-performance out-of-order processors do not scale in an efficient way. To address this, different solutions have been proposed that build execution schedules in an energy-efficient manner. Issue time prediction processors are one such solution that use data-flow dependencies and predefined instruction latencies to predict issue times of repeated instructions…
▽ More
Many hardware structures in today's high-performance out-of-order processors do not scale in an efficient way. To address this, different solutions have been proposed that build execution schedules in an energy-efficient manner. Issue time prediction processors are one such solution that use data-flow dependencies and predefined instruction latencies to predict issue times of repeated instructions. In this work, we aim to improve their accuracy, and consequently their performance, in an energy efficient way. We accomplish this by taking advantage of two key observations. First, memory accesses often take additional time to arrive than the static, predefined access latency that is used to describe these systems. Second, we find that these memory access delays often repeat across iterations of the same code. This, in turn, allows us to predict the arrival time of these accesses.
In this work, we introduce a new processor microarchitecture, that replaces a complex reservation-station-based scheduler with an efficient, scalable alternative. Our proposed scheduling technique tracks real-time delays of loads to accurately predict instruction issue times, and uses a reordering mechanism to prioritize instructions based on that prediction, achieving close-to-out-of-order processor performance. To accomplish this in an energy-efficient manner we introduce: (1) an instruction delay learning mechanism that monitors repeated load instructions and learns their latest delay, (2) an issue time predictor that uses learned delays and data-flow dependencies to predict instruction issue times and (3) priority queues that reorder instructions based on their issue time prediction. Together, our processor achieves 86.2% of the performance of a traditional out-of-order processor, higher than previous efficient scheduler proposals, while still consuming 30% less power.
△ Less
Submitted 7 September, 2021;
originally announced September 2021.
-
Leaking Control Flow Information via the Hardware Prefetcher
Authors:
Yun Chen,
Lingfeng Pei,
Trevor E. Carlson
Abstract:
Modern processor designs use a variety of microarchitectural methods to achieve high performance. Unfortunately, new side-channels have often been uncovered that exploit these enhanced designs. One area that has received little attention from a security perspective is the processor's hard-ware prefetcher, a critical component used to mitigate DRAM latency in today's systems. Prefetchers, like bran…
▽ More
Modern processor designs use a variety of microarchitectural methods to achieve high performance. Unfortunately, new side-channels have often been uncovered that exploit these enhanced designs. One area that has received little attention from a security perspective is the processor's hard-ware prefetcher, a critical component used to mitigate DRAM latency in today's systems. Prefetchers, like branch predictors, hold critical state related to the execution of the application, and have the potential to leak secret information. But up to now, there has not been a demonstration of a generic prefetcher side-channel that could be actively exploited in today's hardware.
In this paper, we present AfterImage, a new side-channel that exploits the Intel Instruction Pointer-based stride prefetcher. We observe that, when the execution of the processor switches between different private domains, the prefetcher trained by one domain can be triggered in another. To the best of our knowledge, this work is the first to publicly demonstrate a methodology that is both algorithm-agnostic and also able to leak kernel data into userspace. AfterImage is different from previous works, as it leaks data on the non-speculative path of execution. Because of this, a large class of work that has focused on protecting transient, branch-outcome-based data will be unable to block this side-channel. By reverse-engineering the IP-stride prefetcher in modern Intel processors, we have successfully developed three variants of AfterImage to leak control flow information across code regions, processes and the user-kernel boundary. We find a high level of accuracy in leaking information with our methodology (from 91%, up to 99%), and propose two mitigation techniques to block this side-channel, one of which can be used on hardware systems today.
△ Less
Submitted 1 September, 2021;
originally announced September 2021.
-
Nuclear Limits on Non-Minimally Coupled Gravity
Authors:
Sarah B. Fisher,
Eric D. Carlson
Abstract:
We explore alternate theories of gravity where the gravitational term in the Lagrangian $\frac{R}{8πG}$ is replaced by a function $f_1(R)$ and the matter Lagrangian is multiplied by a function $f_2(R)$. We argue that nuclear physics can provide strong experimental constraints on such theories. In particular using energy conditions on the pressure in the $^4$He nucleus, for $f_1(R)=\frac{R}{8πG}$ a…
▽ More
We explore alternate theories of gravity where the gravitational term in the Lagrangian $\frac{R}{8πG}$ is replaced by a function $f_1(R)$ and the matter Lagrangian is multiplied by a function $f_2(R)$. We argue that nuclear physics can provide strong experimental constraints on such theories. In particular using energy conditions on the pressure in the $^4$He nucleus, for $f_1(R)=\frac{R}{8πG}$ and $f_2(R)=1+λR$, we find a limit of $|λ| < 5\times 10^{-12}\, \hbox{m}^2$, more than thirty orders of magnitude stronger than the previous limit.
△ Less
Submitted 22 November, 2021; v1 submitted 30 August, 2021;
originally announced August 2021.
-
Dynamically learning the parameters of a chaotic system using partial observations
Authors:
Elizabeth Carlson,
Joshua Hudson,
Adam Larios,
Vincent R. Martinez,
Eunice Ng,
Jared P. Whitehead
Abstract:
Motivated by recent progress in data assimilation, we develop an algorithm to dynamically learn the parameters of a chaotic system from partial observations. Under reasonable assumptions, we rigorously establish the convergence of this algorithm to the correct parameters when the system in question is the classic three-dimensional Lorenz system. Computationally, we demonstrate the efficacy of this…
▽ More
Motivated by recent progress in data assimilation, we develop an algorithm to dynamically learn the parameters of a chaotic system from partial observations. Under reasonable assumptions, we rigorously establish the convergence of this algorithm to the correct parameters when the system in question is the classic three-dimensional Lorenz system. Computationally, we demonstrate the efficacy of this algorithm on the Lorenz system by recovering any proper subset of the three non-dimensional parameters of the system, so long as a corresponding subset of the state is observable. We also provide computational evidence that this algorithm works well beyond the hypotheses required in the rigorous analysis, including in the presence of noisy observations, stochastic forcing, and the case where the observations are discrete and sparse in time.
△ Less
Submitted 18 August, 2021;
originally announced August 2021.
-
Mitigating Power Attacks through Fine-Grained Instruction Reordering
Authors:
Yun Chen,
Ali Hajiabadi,
Romain Poussier,
Andreas Diavastos,
Shivam Bhasin,
Trevor E. Carlson
Abstract:
Side-channel attacks are a security exploit that take advantage of information leakage. They use measurement and analysis of physical parameters to reverse engineer and extract secrets from a system. Power analysis attacks in particular, collect a set of power traces from a computing device and use statistical techniques to correlate this information with the attacked application data and source c…
▽ More
Side-channel attacks are a security exploit that take advantage of information leakage. They use measurement and analysis of physical parameters to reverse engineer and extract secrets from a system. Power analysis attacks in particular, collect a set of power traces from a computing device and use statistical techniques to correlate this information with the attacked application data and source code. Counter measures like just-in-time compilation, random code injection and instruction descheduling obfuscate the execution of instructions to reduce the security risk. Unfortunately, due to the randomness and excess instructions executed by these solutions, they introduce large overheads in performance, power and area.
In this work we propose a scheduling algorithm that dynamically reorders instructions in an out-of-order processor to provide obfuscated execution and mitigate power analysis attacks with little-to-no effect on the performance, power or area of the processor. We exploit the time between operand availability of critical instructions (slack) to create high-performance random schedules without requiring additional instructions or static prescheduling. Further, we perform an extended security analysis using different attacks. We highlight the dangers of using incorrect adversarial assumptions, which can often lead to a false sense of security. In that regard, our advanced security metric demonstrates improvements of 34$\times$, while our basic security evaluation shows results up to 261$\times$. Moreover, our system achieves performance within 96% on average, of the baseline unprotected processor.
△ Less
Submitted 23 July, 2021;
originally announced July 2021.
-
Synthetic Mechanical Lattices with Synthetic Interactions
Authors:
Ritika Anandwade,
Yaashnaa Singhal,
Sai Naga Manoj Paladugu,
Enrico Martello,
Michael Castle,
Shraddha Agrawal,
Ellen Carlson,
Cait Battle-McDonald,
Tomoki Ozawa,
Hannah M. Price,
Bryce Gadway
Abstract:
Metamaterials based on mechanical elements have been developed over the past decade as a powerful platform for exploring analogs of electron transport in exotic regimes that are hard to produce in real materials. In addition to enabling new physics explorations, such developments promise to advance the control over acoustic and mechanical metamaterials, and consequently to enable new capabilities…
▽ More
Metamaterials based on mechanical elements have been developed over the past decade as a powerful platform for exploring analogs of electron transport in exotic regimes that are hard to produce in real materials. In addition to enabling new physics explorations, such developments promise to advance the control over acoustic and mechanical metamaterials, and consequently to enable new capabilities for controlling the transport of sound and energy. Here, we demonstrate the building blocks of highly tunable mechanical metamaterials based on real-time measurement and feedback of modular mechanical elements. We experimentally engineer synthetic lattice Hamiltonians describing the transport of mechanical energy (phonons) in our mechanical system, with control over local site energies and loss and gain as well as control over the complex hop** between oscillators, including a natural extension to non-reciprocal hop**. Beyond linear terms, we experimentally demonstrate how this measurement-based feedback approach opens the window to independently introducing nonlinear interaction terms. Looking forward, synthetic mechanical lattices open the door to exploring phenomena related to topology, non-Hermiticity, and nonlinear dynamics in non-standard geometries, higher dimensions, and with novel multi-body interactions.
△ Less
Submitted 20 July, 2021;
originally announced July 2021.
-
Graphs with Many Hamiltonian Paths
Authors:
Erik Carlson,
Willem Fletcher,
MurphyKate Montee,
Chi Nguyen,
Jarne Renders,
Xingyi Zhang
Abstract:
A graph is \emph{hamiltonian-connected} if every pair of vertices can be connected by a hamiltonian path, and it is \emph{hamiltonian} if it contains a hamiltonian cycle. We construct families of non-hamiltonian graphs for which the ratio of pairs of vertices connected by hamiltonian paths to all pairs of vertices approaches 1. We then consider minimal graphs that are hamiltonian-connected. It is…
▽ More
A graph is \emph{hamiltonian-connected} if every pair of vertices can be connected by a hamiltonian path, and it is \emph{hamiltonian} if it contains a hamiltonian cycle. We construct families of non-hamiltonian graphs for which the ratio of pairs of vertices connected by hamiltonian paths to all pairs of vertices approaches 1. We then consider minimal graphs that are hamiltonian-connected. It is known that any order-$n$ graph that is hamiltonian-connected must have $\geq 3n/2$ edges. We construct an infinite family of graphs realizing this minimum.
△ Less
Submitted 2 April, 2024; v1 submitted 24 June, 2021;
originally announced June 2021.
-
Delta baryon photoproduction with twisted photons
Authors:
Andrei Afanasev,
Carl E. Carlson
Abstract:
A future gamma factory at CERN or accelerator-based gamma sources elsewhere can include the possibility of energetic twisted photons, which are photons with a structured wave front that can allow a pre-defined large angular momentum along the beam direction. Twisted photons are potentially a new tool in hadronic physics, and we consider here one possibility, namely the photoproduction of $Δ$(1232)…
▽ More
A future gamma factory at CERN or accelerator-based gamma sources elsewhere can include the possibility of energetic twisted photons, which are photons with a structured wave front that can allow a pre-defined large angular momentum along the beam direction. Twisted photons are potentially a new tool in hadronic physics, and we consider here one possibility, namely the photoproduction of $Δ$(1232) baryons using twisted photons. We show that particular polarization amplitudes isolate the smaller partial wave amplitudes and they are measurable without interference from the terms that are otherwise dominant.
△ Less
Submitted 15 May, 2021;
originally announced May 2021.
-
Elasticlave: An Efficient Memory Model for Enclaves
Authors:
Zhi**gcheng Yu,
Shweta Shinde,
Trevor E. Carlson,
Prateek Saxena
Abstract:
Trusted-execution environments (TEE), like Intel SGX, isolate user-space applications into secure enclaves without trusting the OS. Thus, TEEs reduce the trusted computing base, but add one to two orders of magnitude slow-down. The performance cost stems from a strict memory model, which we call the spatial isolation model, where enclaves cannot share memory regions with each other. In this work,…
▽ More
Trusted-execution environments (TEE), like Intel SGX, isolate user-space applications into secure enclaves without trusting the OS. Thus, TEEs reduce the trusted computing base, but add one to two orders of magnitude slow-down. The performance cost stems from a strict memory model, which we call the spatial isolation model, where enclaves cannot share memory regions with each other. In this work, we present Elasticlave---a new TEE memory model that allows enclaves to selectively and temporarily share memory with other enclaves and the OS. Elasticlave eliminates the need for expensive data copy operations, while offering the same level of application-desired security as possible with the spatial model. We prototype Elasticlave design on an RTL-designed cycle-level RISC-V core and observe 1 to 2 orders of magnitude performance improvements over the spatial model implemented with the same processor configuration. Elasticlave has a small TCB. We find that its performance characteristics and hardware area footprint scale well with the number of shared memory regions it is configured to support.
△ Less
Submitted 16 October, 2020;
originally announced October 2020.
-
CARGO : Context Augmented Critical Region Offload for Network-bound datacenter Workloads
Authors:
Siddharth Rai,
Trevor E. Carlson
Abstract:
Network bound applications, like a database server executing OLTP queries or a caching server storing objects for a dynamic web applications, are essential services that consumers and businesses use daily. These services run on a large datacenters and are required to meet predefined Service Level Objectives (SLO), or latency targets, with high probability. Thus, efficient datacenter applications s…
▽ More
Network bound applications, like a database server executing OLTP queries or a caching server storing objects for a dynamic web applications, are essential services that consumers and businesses use daily. These services run on a large datacenters and are required to meet predefined Service Level Objectives (SLO), or latency targets, with high probability. Thus, efficient datacenter applications should optimize their execution in terms of power and performance. However, to support large scale data storage, these workloads make heavy use of pointer connected data structures (e.g., hash table, large fan-out tree, trie) and exhibit poor instruction and memory level parallelism. Our experiments show that due to long memory access latency, these workloads occupy processor resources (e.g., ROB entries, RS buffers, LS queue entries etc.) for a prolonged period of time that delay the processing of subsequent requests. Delayed execution not only increases request processing latency, but also severely effects an application throughput and power-efficiency. To overcome this limitation, we present CARGO, a novel mechanism to overlap queuing latency and request processing by executing select instructions on an application critical path at the network interface card (NIC) while requests wait for processor resources to become available. Our mechanism dynamically identifies the critical instructions and includes the register state needed to compute the long latency memory accesses. This context-augmented critical region is often executed at the NIC well before execution begins at the core, effectively prefetching the data ahead of time. Across a variety of interactive datacenter applications, our proposal improves latency, throughput, and power efficiency by 2.7X, 2.7X, and 1.5X, respectively, while incurring a modest amount storage overhead.
△ Less
Submitted 17 August, 2020;
originally announced August 2020.
-
SOTERIA: In Search of Efficient Neural Networks for Private Inference
Authors:
Anshul Aggarwal,
Trevor E. Carlson,
Reza Shokri,
Shruti Tople
Abstract:
ML-as-a-service is gaining popularity where a cloud server hosts a trained model and offers prediction (inference) service to users. In this setting, our objective is to protect the confidentiality of both the users' input queries as well as the model parameters at the server, with modest computation and communication overhead. Prior solutions primarily propose fine-tuning cryptographic methods to…
▽ More
ML-as-a-service is gaining popularity where a cloud server hosts a trained model and offers prediction (inference) service to users. In this setting, our objective is to protect the confidentiality of both the users' input queries as well as the model parameters at the server, with modest computation and communication overhead. Prior solutions primarily propose fine-tuning cryptographic methods to make them efficient for known fixed model architectures. The drawback with this line of approach is that the model itself is never designed to operate with existing efficient cryptographic computations. We observe that the network architecture, internal functions, and parameters of a model, which are all chosen during training, significantly influence the computation and communication overhead of a cryptographic method, during inference. Based on this observation, we propose SOTERIA -- a training method to construct model architectures that are by-design efficient for private inference. We use neural architecture search algorithms with the dual objective of optimizing the accuracy of the model and the overhead of using cryptographic primitives for secure inference. Given the flexibility of modifying a model during training, we find accurate models that are also efficient for private computation. We select garbled circuits as our underlying cryptographic primitive, due to their expressiveness and efficiency, but this approach can be extended to hybrid multi-party computation settings. We empirically evaluate SOTERIA on MNIST and CIFAR10 datasets, to compare with the prior work. Our results confirm that SOTERIA is indeed effective in balancing performance and accuracy.
△ Less
Submitted 25 July, 2020;
originally announced July 2020.
-
Towards Multimodal MIR: Predicting individual differences from music-induced movement
Authors:
Yudhik Agrawal,
Samyak Jain,
Emily Carlson,
Petri Toiviainen,
Vinoo Alluri
Abstract:
As the field of Music Information Retrieval grows, it is important to take into consideration the multi-modality of music and how aspects of musical engagement such as movement and gesture might be taken into account. Bodily movement is universally associated with music and reflective of important individual features related to music preference such as personality, mood, and empathy. Future multim…
▽ More
As the field of Music Information Retrieval grows, it is important to take into consideration the multi-modality of music and how aspects of musical engagement such as movement and gesture might be taken into account. Bodily movement is universally associated with music and reflective of important individual features related to music preference such as personality, mood, and empathy. Future multimodal MIR systems may benefit from taking these aspects into account. The current study addresses this by identifying individual differences, specifically Big Five personality traits, and scores on the Empathy and Systemizing Quotients (EQ/SQ) from participants' free dance movements. Our model successfully explored the unseen space for personality as well as EQ, SQ, which has not previously been accomplished for the latter. R2 scores for personality, EQ, and SQ were 76.3%, 77.1%, and 86.7% respectively. As a follow-up, we investigated which bodily joints were most important in defining these traits. We discuss how further research may explore how the map** of these traits to movement patterns can be used to build a more personalized, multi-modal recommendation system, as well as potential therapeutic applications.
△ Less
Submitted 21 July, 2020;
originally announced July 2020.
-
Recoil Momentum Effects in Quantum Processes Induced by Twisted Photons
Authors:
Andrei Afanasev,
Carl E. Carlson,
Asmita Mukherjee
Abstract:
We consider physical processes caused by the twisted photons for a wide range of energy scales, from optical (eV) to nuclear (MeV) to high-energy gamma-rays (TeV). We demonstrate that in order to satisfy angular momentum conservation, absorption of a twisted photon leads to a transverse recoil of the final particle or a system of particles leading to increased threshold energy required for the rea…
▽ More
We consider physical processes caused by the twisted photons for a wide range of energy scales, from optical (eV) to nuclear (MeV) to high-energy gamma-rays (TeV). We demonstrate that in order to satisfy angular momentum conservation, absorption of a twisted photon leads to a transverse recoil of the final particle or a system of particles leading to increased threshold energy required for the reaction to proceed. Modification of the threshold energy is predicted for (a) Photo-absorption on colds trapped ions of $^{40}$Ca, along with emerging new transverse-motion sidebands, (b) photo-disintegration of deuterium and (c) photo-production of electron-positron pairs in astrophysics environment.
△ Less
Submitted 11 July, 2020;
originally announced July 2020.
-
Sensitivity Analysis for the 2D Navier-Stokes Equations with Applications to Continuous Data Assimilation
Authors:
Adam Larios,
Elizabeth Carlson
Abstract:
We rigorously prove the well-posedness of the formal sensitivity equations with respect to the Reynolds number corresponding to the 2D incompressible Navier-Stokes equations. Moreover, we do so by showing a sequence of difference quotients converges to the unique solution of the sensitivity equations for both the 2D Navier-Stokes equations and the related data assimilation equations, which utilize…
▽ More
We rigorously prove the well-posedness of the formal sensitivity equations with respect to the Reynolds number corresponding to the 2D incompressible Navier-Stokes equations. Moreover, we do so by showing a sequence of difference quotients converges to the unique solution of the sensitivity equations for both the 2D Navier-Stokes equations and the related data assimilation equations, which utilize the continuous data assimilation algorithm proposed by Azouani, Olson, and Titi. As a result, this method of proof provides uniform bounds on difference quotients, demonstrating parameter recovery algorithms that change parameters as the system evolves will not blow-up. We also note that this appears to be the first such rigorous proof of global existence and uniqueness to strong or weak solutions to the sensitivity equations for the 2D Navier-Stokes equations (in the natural case of zero initial data), and that they can be obtained as a limit of difference quotients with respect to the Reynolds number.
△ Less
Submitted 2 July, 2020;
originally announced July 2020.
-
You Only Spike Once: Improving Energy-Efficient Neuromorphic Inference to ANN-Level Accuracy
Authors:
Srivatsa P,
Kyle Timothy Ng Chu,
Burin Amornpaisannon,
Yaswanth Tavva,
Venkata Pavan Kumar Miriyala,
Jibin Wu,
Malu Zhang,
Haizhou Li,
Trevor E. Carlson
Abstract:
In the past decade, advances in Artificial Neural Networks (ANNs) have allowed them to perform extremely well for a wide range of tasks. In fact, they have reached human parity when performing image recognition, for example. Unfortunately, the accuracy of these ANNs comes at the expense of a large number of cache and/or memory accesses and compute operations. Spiking Neural Networks (SNNs), a type…
▽ More
In the past decade, advances in Artificial Neural Networks (ANNs) have allowed them to perform extremely well for a wide range of tasks. In fact, they have reached human parity when performing image recognition, for example. Unfortunately, the accuracy of these ANNs comes at the expense of a large number of cache and/or memory accesses and compute operations. Spiking Neural Networks (SNNs), a type of neuromorphic, or brain-inspired network, have recently gained significant interest as power-efficient alternatives to ANNs, because they are sparse, accessing very few weights, and typically only use addition operations instead of the more power-intensive multiply-and-accumulate (MAC) operations. The vast majority of neuromorphic hardware designs support rate-encoded SNNs, where the information is encoded in spike rates. Rate-encoded SNNs could be seen as inefficient as an encoding scheme because it involves the transmission of a large number of spikes. A more efficient encoding scheme, Time-To-First-Spike (TTFS) encoding, encodes information in the relative time of arrival of spikes. While TTFS-encoded SNNs are more efficient than rate-encoded SNNs, they have, up to now, performed poorly in terms of accuracy compared to previous methods. Hence, in this work, we aim to overcome the limitations of TTFS-encoded neuromorphic systems. To accomplish this, we propose: (1) a novel optimization algorithm for TTFS-encoded SNNs converted from ANNs and (2) a novel hardware accelerator for TTFS-encoded SNNs, with a scalable and low-power design. Overall, our work in TTFS encoding and training improves the accuracy of SNNs to achieve state-of-the-art results on MNIST MLPs, while reducing power consumption by 1.46$\times$ over the state-of-the-art neuromorphic hardware.
△ Less
Submitted 8 November, 2020; v1 submitted 3 June, 2020;
originally announced June 2020.
-
Semiclassical predictions regarding a pre-inflationary era and its effects on the power spectrum
Authors:
Paul R. Anderson,
Eric D. Carlson,
Taylor M. Ordines,
Bradley Hicks
Abstract:
An investigation is undertaken into the properties and effects of a preinflationary era during at least part of which semiclassical gravity was valid. It is argued that if the Universe (or our part of it) was approximately homogeneous and isotropic during that era, then the Universe was likely to have been radiation dominated. A simple model in which the Universe contains classical radiation and a…
▽ More
An investigation is undertaken into the properties and effects of a preinflationary era during at least part of which semiclassical gravity was valid. It is argued that if the Universe (or our part of it) was approximately homogeneous and isotropic during that era, then the Universe was likely to have been radiation dominated. A simple model in which the Universe contains classical radiation and a cosmological constant is used to investigate potential effects of such a preinflationary era on the cosmic microwave background. The power spectrum is computed using the mode functions of a quantized massless minimally coupled scalar field. Various choices of state for this field are considered, including adiabatic vacuum states of various orders and the vacuum state that would naturally occur if the Universe made a sudden transition from being radiation dominated to de Sitter space. In all cases investigated, there is a suppression of the power spectrum at large angles, and, when plotted as a function of the momentum parameter, there are always oscillations with state-dependent amplitudes.
△ Less
Submitted 24 May, 2021; v1 submitted 25 May, 2020;
originally announced May 2020.
-
Response to Comment on "Reexamining $f(R,T)$ Gravity''
Authors:
Sarah B. Fisher,
Eric D. Carlson
Abstract:
Harko and Moraes claim that in $f(R,T)$ gravity with $f(R,T)=f_1(R)+f_2(T)$, the term $f_2(T)$ cannot be incorporated in the matter Lagrangian ${\cal L}_m$. A careful examination of their Comment finds that they have made several dubious assumptions without indicating any errors in our work. Most notably, they have unjustifiably claimed that the two terms ${\cal L}_m$ and $f_2(T)$ are of ``differe…
▽ More
Harko and Moraes claim that in $f(R,T)$ gravity with $f(R,T)=f_1(R)+f_2(T)$, the term $f_2(T)$ cannot be incorporated in the matter Lagrangian ${\cal L}_m$. A careful examination of their Comment finds that they have made several dubious assumptions without indicating any errors in our work. Most notably, they have unjustifiably claimed that the two terms ${\cal L}_m$ and $f_2(T)$ are of ``different origin,'' and their inference that only the first contributes to the energy momentum tensor is arbitrary. Also significant, their derivation of equations of motion from a Lagrangian formulation, imposing conservation constraints {\it ad hoc} rather than via Lagrange multipliers, leads to inconsistent conclusions.
△ Less
Submitted 6 April, 2020;
originally announced April 2020.
-
Rectified Linear Postsynaptic Potential Function for Backpropagation in Deep Spiking Neural Networks
Authors:
Malu Zhang,
Jiadong Wang,
Burin Amornpaisannon,
Zhixuan Zhang,
VPK Miriyala,
Ammar Belatreche,
Hong Qu,
Jibin Wu,
Yansong Chua,
Trevor E. Carlson,
Haizhou Li
Abstract:
Spiking Neural Networks (SNNs) use spatio-temporal spike patterns to represent and transmit information, which is not only biologically realistic but also suitable for ultra-low-power event-driven neuromorphic implementation. Motivated by the success of deep learning, the study of Deep Spiking Neural Networks (DeepSNNs) provides promising directions for artificial intelligence applications. Howeve…
▽ More
Spiking Neural Networks (SNNs) use spatio-temporal spike patterns to represent and transmit information, which is not only biologically realistic but also suitable for ultra-low-power event-driven neuromorphic implementation. Motivated by the success of deep learning, the study of Deep Spiking Neural Networks (DeepSNNs) provides promising directions for artificial intelligence applications. However, training of DeepSNNs is not straightforward because the well-studied error back-propagation (BP) algorithm is not directly applicable. In this paper, we first establish an understanding as to why error back-propagation does not work well in DeepSNNs. To address this problem, we propose a simple yet efficient Rectified Linear Postsynaptic Potential function (ReL-PSP) for spiking neurons and propose a Spike-Timing-Dependent Back-Propagation (STDBP) learning algorithm for DeepSNNs. In STDBP algorithm, the timing of individual spikes is used to convey information (temporal coding), and learning (back-propagation) is performed based on spike timing in an event-driven manner. Our experimental results show that the proposed learning algorithm achieves state-of-the-art classification accuracy in single spike time based learning algorithms of DeepSNNs. Furthermore, by utilizing the trained model parameters obtained from the proposed STDBP learning algorithm, we demonstrate the ultra-low-power inference operations on a recently proposed neuromorphic inference accelerator. Experimental results show that the neuromorphic hardware consumes 0.751~mW of the total power consumption and achieves a low latency of 47.71~ms to classify an image from the MNIST dataset. Overall, this work investigates the contribution of spike timing dynamics to information encoding, synaptic plasticity and decision making, providing a new perspective to design of future DeepSNNs and neuromorphic hardware systems.
△ Less
Submitted 3 November, 2020; v1 submitted 26 March, 2020;
originally announced March 2020.
-
A Corpus for Detecting High-Context Medical Conditions in Intensive Care Patient Notes Focusing on Frequently Readmitted Patients
Authors:
Edward T. Moseley,
Joy T. Wu,
Jonathan Welt,
John Foote,
Patrick D. Tyler,
David W. Grant,
Eric T. Carlson,
Sebastian Gehrmann,
Franck Dernoncourt,
Leo Anthony Celi
Abstract:
A crucial step within secondary analysis of electronic health records (EHRs) is to identify the patient cohort under investigation. While EHRs contain medical billing codes that aim to represent the conditions and treatments patients may have, much of the information is only present in the patient notes. Therefore, it is critical to develop robust algorithms to infer patients' conditions and treat…
▽ More
A crucial step within secondary analysis of electronic health records (EHRs) is to identify the patient cohort under investigation. While EHRs contain medical billing codes that aim to represent the conditions and treatments patients may have, much of the information is only present in the patient notes. Therefore, it is critical to develop robust algorithms to infer patients' conditions and treatments from their written notes. In this paper, we introduce a dataset for patient phenoty**, a task that is defined as the identification of whether a patient has a given medical condition (also referred to as clinical indication or phenotype) based on their patient note. Nursing Progress Notes and Discharge Summaries from the Intensive Care Unit of a large tertiary care hospital were manually annotated for the presence of several high-context phenotypes relevant to treatment and risk of re-hospitalization. This dataset contains 1102 Discharge Summaries and 1000 Nursing Progress Notes. Each Discharge Summary and Progress Note has been annotated by at least two expert human annotators (one clinical researcher and one resident physician). Annotated phenotypes include treatment non-adherence, chronic pain, advanced/metastatic cancer, as well as 10 other phenotypes. This dataset can be utilized for academic and industrial research in medicine and computer science, particularly within the field of medical natural language processing.
△ Less
Submitted 6 March, 2020;
originally announced March 2020.
-
Low energy doubly-virtual Compton scattering from di-lepton electroproduction on a nucleon
Authors:
Vladyslav Pauk,
Carl E. Carlson,
Marc Vanderhaeghen
Abstract:
We propose a new way to experimentally determine the subleading low-energy structure constant of doubly-virtual Compton scattering on a proton. Such empirical determination will reduce the theoretical model error in estimates of the hadronic correction to the muonic hydrogen Lamb shift. We demonstrate that the di-lepton forward-backward asymmetry in the $e^- p \to e^- p \, e^- e^+$ process, which…
▽ More
We propose a new way to experimentally determine the subleading low-energy structure constant of doubly-virtual Compton scattering on a proton. Such empirical determination will reduce the theoretical model error in estimates of the hadronic correction to the muonic hydrogen Lamb shift. We demonstrate that the di-lepton forward-backward asymmetry in the $e^- p \to e^- p \, e^- e^+$ process, which can be accessed at electron scattering facilities, yields a large sensitivity to this so far unknown low-energy constant.
△ Less
Submitted 28 January, 2020;
originally announced January 2020.
-
Polarization Transfer from the Twisted Light to an Atom
Authors:
Andrei Afanasev,
Carl E. Carlson,
Hao Wang
Abstract:
When polarized light is absorbed by an atom, the excited atomic system carries information about the initial polarization of light. For the light that carries an orbital angular momentum, or the twisted light, the polarization states are described by eight independent parameters, as opposed to three Stokes parameters for plane waves. We use a parameterization of the spin-density matrix of the twis…
▽ More
When polarized light is absorbed by an atom, the excited atomic system carries information about the initial polarization of light. For the light that carries an orbital angular momentum, or the twisted light, the polarization states are described by eight independent parameters, as opposed to three Stokes parameters for plane waves. We use a parameterization of the spin-density matrix of the twisted light in terms of vector and tensor polarization, in analogy with massive spin-1 particles, and derive formulae that define atom's response to specific polarization components of the twisted light. It is shown that for dipole ($S\to P$) atomic transitions, the atom's polarization is in one-to-one correspondence with polarization of the incident light; this relation is violated, however, for the transitions of higher multipolarity ($S\to D$, $S\to F$, etc.) We pay special attention to contributions of the longitudinal electric field into the matrix elements of atomic transitions.
△ Less
Submitted 14 May, 2020; v1 submitted 21 November, 2019;
originally announced November 2019.
-
Scale-invariant magnetic textures in the strongly correlated oxide NdNiO$_3$
Authors:
Jiarui Li,
Jonathan Pelliciari,
Claudio Mazzoli,
Sara Catalano,
Forrest Simmons,
Jerzy T. Sadowski,
Abraham Levitan,
Marta Gibert,
Erica Carlson,
Jean-Marc Triscone,
Stuart Wilkins,
Riccardo Comin
Abstract:
Strongly correlated quantum solids are characterized by an inherently granular electronic fabric, with spatial patterns that can span multiple length scales in proximity to a critical point. Here, we used a resonant magnetic X-ray scattering nanoprobe with sub-100 nm spatial resolution to directly visualize the texture of antiferromagnetic domains in NdNiO$_3$. Surprisingly, our measurements revea…
▽ More
Strongly correlated quantum solids are characterized by an inherently granular electronic fabric, with spatial patterns that can span multiple length scales in proximity to a critical point. Here, we used a resonant magnetic X-ray scattering nanoprobe with sub-100 nm spatial resolution to directly visualize the texture of antiferromagnetic domains in NdNiO$_3$. Surprisingly, our measurements revealed a highly textured magnetic fabric, which is shown to be robust and nonvolatile even after thermal erasure across its ordering ($T_{N\acute{e}el}$) temperature. The scale-free distribution of antiferromagnetic domains and its non-integral dimensionality point to a hitherto-unobserved magnetic fractal geometry in this system. These scale-invariant textures directly reflect the continuous nature of the magnetic transition and the proximity of this system to a critical point. The present study not only exposes the near-critical behavior in rare earth nickelates but also underscores the potential for novel X-ray scattering nanoprobes to image the multiscale signatures of criticality near a critical point.
△ Less
Submitted 4 October, 2019;
originally announced October 2019.
-
Emergent Time Crystal with Tunable Period in the Uniaxial Random Field XY Magnet
Authors:
Sayan Basak,
Karin A. Dahmen,
Erica W. Carlson
Abstract:
The addition of uniaxial random fields to the XY model induces an order-by disorder transition, in which the XY magnet develops a spontaneous magnetization in the direction perpendicular to the uniaxial random field. Here, we use simulations to explore the robustness of this phase transition with respect to a rotating driving field. We find that the order-by-disorder transition is robust, persisti…
▽ More
The addition of uniaxial random fields to the XY model induces an order-by disorder transition, in which the XY magnet develops a spontaneous magnetization in the direction perpendicular to the uniaxial random field. Here, we use simulations to explore the robustness of this phase transition with respect to a rotating driving field. We find that the order-by-disorder transition is robust, persisting to finite applied field. In the vicinity of the critical driving field strength, a time crystal emerges, in which the period of the limit cycles becomes an integer $n>1$ multiple of the driving period. Because $n$ increases with system size, the period of the time crystal can be engineered. This period multiplication cascade is reminiscent of that occuring in amorphous solids subject to oscillatory shear near the onset of plastic deformation, and of the period bifurcation cascade near the onset of chaos in nonlinear systems, suggesting it is part of a larger class of phenomena in transitions of dynamical systems. Applications include magnets, electron nematics, and quantum gases.
△ Less
Submitted 23 September, 2020; v1 submitted 3 October, 2019;
originally announced October 2019.
-
Reexamining $f(R,T)$ gravity
Authors:
Sarah B. Fisher,
Eric D. Carlson
Abstract:
We study $f(R,T)$ gravity, in which the curvature $R$ appearing in the gravitational Lagrangian is replaced by an arbitrary function of the curvature and the trace $T$ of the stress-energy tensor. We focus primarily on situations where $f$ is separable, so that $f(R,T) = f_1(R) + f_2(T)$. We argue that the term $f_2(T)$ should be included in the matter Lagrangian ${\cal L}_m$, and therefore has no…
▽ More
We study $f(R,T)$ gravity, in which the curvature $R$ appearing in the gravitational Lagrangian is replaced by an arbitrary function of the curvature and the trace $T$ of the stress-energy tensor. We focus primarily on situations where $f$ is separable, so that $f(R,T) = f_1(R) + f_2(T)$. We argue that the term $f_2(T)$ should be included in the matter Lagrangian ${\cal L}_m$, and therefore has no physical significance. We demonstrate explicitly how this can be done for the cases of free fields and for perfect fluids. We argue that all uses of $f_2(T)$ for cosmological modeling and all attempts to place limits on parameters describing $f_2(T)$ are misguided.
△ Less
Submitted 14 August, 2019;
originally announced August 2019.
-
On Target Shift in Adversarial Domain Adaptation
Authors:
Yitong Li,
Michael Murias,
Samantha Major,
Geraldine Dawson,
David E. Carlson
Abstract:
Discrepancy between training and testing domains is a fundamental problem in the generalization of machine learning techniques. Recently, several approaches have been proposed to learn domain invariant feature representations through adversarial deep learning. However, label shift, where the percentage of data in each class is different between domains, has received less attention. Label shift nat…
▽ More
Discrepancy between training and testing domains is a fundamental problem in the generalization of machine learning techniques. Recently, several approaches have been proposed to learn domain invariant feature representations through adversarial deep learning. However, label shift, where the percentage of data in each class is different between domains, has received less attention. Label shift naturally arises in many contexts, especially in behavioral studies where the behaviors are freely chosen. In this work, we propose a method called Domain Adversarial nets for Target Shift (DATS) to address label shift while learning a domain invariant representation. This is accomplished by using distribution matching to estimate label proportions in a blind test set. We extend this framework to handle multiple domains by develo** a scheme to upweight source domains most similar to the target domain. Empirical results show that this framework performs well under large label shift in synthetic and real experiments, demonstrating the practical importance.
△ Less
Submitted 14 March, 2019;
originally announced March 2019.
-
Power-Performance Tradeoffs in Data Center Servers: DVFS, CPU pinning, Horizontal, and Vertical Scaling
Authors:
Jakub Krzywda,
Ahmed Ali-Eldin,
Trevor E. Carlson,
Per-Olov Östberg,
Erik Elmroth
Abstract:
Dynamic Voltage and Frequency Scaling (DVFS), CPU pinning, horizontal, and vertical scaling, are four techniques that have been proposed as actuators to control the performance and energy consumption on data center servers. This work investigates the utility of these four actuators, and quantifies the power-performance tradeoffs associated with them. Using replicas of the German Wikipedia running…
▽ More
Dynamic Voltage and Frequency Scaling (DVFS), CPU pinning, horizontal, and vertical scaling, are four techniques that have been proposed as actuators to control the performance and energy consumption on data center servers. This work investigates the utility of these four actuators, and quantifies the power-performance tradeoffs associated with them. Using replicas of the German Wikipedia running on our local testbed, we perform a set of experiments to quantify the influence of DVFS, vertical and horizontal scaling, and CPU pinning on end-to-end response time (average and tail), throughput, and power consumption with different workloads. Results of the experiments show that DVFS rarely reduces the power consumption of underloaded servers by more than 5%, but it can be used to limit the maximal power consumption of a saturated server by up to 20% (at a cost of performance degradation). CPU pinning reduces the power consumption of underloaded server (by up to 7%) at the cost of performance degradation, which can be limited by choosing an appropriate CPU pinning scheme. Horizontal and vertical scaling improves both the average and tail response time, but the improvement is not proportional to the amount of resources added. The load balancing strategy has a big impact on the tail response time of horizontally scaled applications.
△ Less
Submitted 13 March, 2019;
originally announced March 2019.